Add pwm register definitions.
authorasterix <asterix@38d2e660-2303-0410-9eaa-f027e97ec537>
Tue, 27 Apr 2010 20:29:24 +0000 (20:29 +0000)
committerasterix <asterix@38d2e660-2303-0410-9eaa-f027e97ec537>
Tue, 27 Apr 2010 20:29:24 +0000 (20:29 +0000)
commit5ff35a44ec40e775ba88f78ad32398bfdb916b39
treea3acd51b305059a2366e962c48cfc6ef5540b742
parent18205937fe68f9513e43875fc8f39f2711b8d2c7
Add pwm register definitions.

git-svn-id: https://src.develer.com/svnoss/bertos/trunk@3554 38d2e660-2303-0410-9eaa-f027e97ec537
bertos/cpu/cortex-m3/io/lm3s.h
bertos/cpu/cortex-m3/io/lm3s_pwm.h [new file with mode: 0644]