Remove redundant option (is include by default in the user makefile.
[bertos.git] / bertos / drv / i2c.c
2008-10-06 battMerged from external project: