From 941529ddc4cddd04f69d38c0929ec00fe93aadef Mon Sep 17 00:00:00 2001 From: asterix Date: Thu, 22 Nov 2007 12:01:03 +0000 Subject: [PATCH] Remove cvs logs. git-svn-id: https://src.develer.com/svnoss/bertos/trunk@1005 38d2e660-2303-0410-9eaa-f027e97ec537 --- drv/lcd_hd44.c | 33 --------------------------------- drv/lcd_hd44.h | 27 --------------------------- 2 files changed, 60 deletions(-) diff --git a/drv/lcd_hd44.c b/drv/lcd_hd44.c index 9c5c4779..1c2e52f7 100644 --- a/drv/lcd_hd44.c +++ b/drv/lcd_hd44.c @@ -37,39 +37,6 @@ * \author Stefano Fedrigo */ -/*#* - *#* $Log$ - *#* Revision 1.5 2007/10/01 18:59:27 batt - *#* Set to const col_address; add static assert check on array dimension. - *#* - *#* Revision 1.4 2007/10/01 10:46:09 batt - *#* Add light LCD position computation. - *#* - *#* Revision 1.3 2006/09/20 19:55:01 marco - *#* Added CONFIG_LCD_4BIT. - *#* - *#* Revision 1.2 2006/07/19 12:56:25 bernie - *#* Convert to new Doxygen style. - *#* - *#* Revision 1.1 2005/11/04 18:00:42 bernie - *#* Import into DevLib. - *#* - *#* Revision 1.2 2005/06/14 14:43:43 bernie - *#* Add DevLib headers. - *#* - *#* Revision 1.1 2005/05/24 09:17:58 batt - *#* Move drivers to top-level. - *#* - *#* Revision 1.9 2005/05/09 21:58:53 batt - *#* Fix doxygen tags. - *#* - *#* Revision 1.8 2005/05/09 12:52:46 batt - *#* lcd_dataRead(): Avoid bus collision; Add back *UNTESTED* 8bit bus support. - *#* - *#* Revision 1.7 2005/05/09 12:24:13 batt - *#* lcd_putc(): Fix latent bug; lcd_hw_init(): Extend timings. - *#*/ - #include "lcd_hd44.h" #include "hw_lcd.h" #include diff --git a/drv/lcd_hd44.h b/drv/lcd_hd44.h index 8059d0e7..0ebba974 100644 --- a/drv/lcd_hd44.h +++ b/drv/lcd_hd44.h @@ -37,33 +37,6 @@ * \author Stefano Fedrigo */ -/*#* - *#* $Log$ - *#* Revision 1.3 2006/09/20 19:55:01 marco - *#* Added CONFIG_LCD_4BIT. - *#* - *#* Revision 1.2 2006/07/19 12:56:25 bernie - *#* Convert to new Doxygen style. - *#* - *#* Revision 1.1 2005/11/04 18:00:42 bernie - *#* Import into DevLib. - *#* - *#* Revision 1.2 2005/06/14 14:43:43 bernie - *#* Add DevLib headers. - *#* - *#* Revision 1.1 2005/05/24 09:17:58 batt - *#* Move drivers to top-level. - *#* - *#* Revision 1.3 2005/04/22 13:14:58 batt - *#* Better lcd rows and cols definition. - *#* - *#* Revision 1.2 2005/04/17 22:41:39 bernie - *#* lcd_test(): Rename to lcd_hw_test() and conditionalize on CONFIG_TEST. - *#* - *#* Revision 1.1 2005/04/16 19:48:35 aleph - *#* Rename lcd driver - *#*/ - #ifndef DRV_LCD_HD44_H #define DRV_LCD_HD44_H -- 2.25.1