From 32766a8f6d4202c0a9f9a5bf0e2b4ebc90de9fb5 Mon Sep 17 00:00:00 2001 From: Bernie Innocenti Date: Sat, 8 Jul 2017 14:36:13 -0400 Subject: [PATCH 01/16] Add screenshot. --- screenshot.png | Bin 0 -> 330380 bytes 1 file changed, 0 insertions(+), 0 deletions(-) create mode 100644 screenshot.png diff --git a/screenshot.png b/screenshot.png new file mode 100644 index 0000000000000000000000000000000000000000..fdd12a064de294cfcd98a0c467250b49c15ceef8 GIT binary patch literal 330380 zcmZU4Ra6^(&@Ap2+@ZLWBE_LVaEcWzP@ohm4#gq3TX8AwuEi}B_hQA0ySoJfU;f`c z=RVwfcnEJCHoLR4GxLkoP*cFgqQpW#K)_W}1Zg24pu&Ge`h$T4|9DTQjEI1W^-&2V zt?ik00`vL7Y@hijJUi)L{Jz~yXTAG{hkr$kzXO@St|~!~3r9aLg$#%mNJfQiW``HX&g|G;tUKN)SdP_V~#pu;co4+~d^N%~(BKWsM&; z=G(qDGU8g%-rfQKIflvkPu+jb5nA`!^;mirqtPaKMW& zpUjaa$!ff>7xRuXBn_&T6;@6)TrBFHLI5&;y?bhZOb_%_gY_ta%B=3rd{MnF#E#*R2du~{DyIhtGL>Wa(uC4Fblx1gumWLigvrWG37E0~W z4e27?ySQ24>2G5@6)lOnn8?By_=VyPI4go(!qL>T7LpT#)2+%f1>d{RY6ybAO==yO z@ru*iI3=q_+T;)}h0}D%Zt*LQS;gk;@>A$m(z9aDoY(wr8NDQb*1I#=drxTjR*a)8 zHK@we)sVWf|D)n{OxXs6g~#I188sRmbLvScmX`{e{i$%;2<65`@tP%X{i9%JT7c1# zt6)4E;fP#tXl?vD>!>d!L7>t|Pv_O+eY_+gtGE*H6b;42%>0O~+nmN`Of*|Eg2}PN zTCROk#NW|7tQ&O{_hl}>Dzo7nLd(zw6NbDznpwm1Dv_|2`VRdqVbS+~UJ-0aF)(?X zo21vRo=144S{TC@xtw=mn@jPjjyUNdvrL{IH|`y5TU?RXWmfk|;&@tivxU8oGeXp% zU!|8^w!6;7{Q;i~dgJ%Rb^?&cI6whoh&ud{ZgnPtNk$oUi@bF32|BB@(|y;+^qqkA$Zct1!KP1|=jQttRfVAI%Q0k1?>3 z7nO^R#CROnfCQ06;X$cC&_)*J(-pyt3SMHZ7o&@2ZH`XV`px1Gvpz#+U8Iw|Z2baz zePYjP&6i7p&*88wh<3nhi_}HWm$;y1USoqWrO%~opCne+PmJn6c#x*Oj8G6iF$dOMS`*#&c?ResdqVPVL4O37oG*G^G#QhU~A>U8J?2}Xj6q?c;nvx43S)SJAx zoq{h~Gwq4&`aGvBhP5J_5ez3aJkRVMQg^oQ?2Q)7IM$q zZ%|Jo^We=;kbT3eh2_cK7G-^*ge30dZLphb`$Fk_fEQV{U`>T_F_FUiPvpT;kW~Z& z{|E_3n)GRC+v+qX5QG*C+nP~DvvG>U8?T3LK2L7-x)R0)AI%i3H^FOEDVG}{P%8xW zgV#tTLg;VphDBTea>S)pt1K{LPA2@!+NYVO=(@7Y)+6pLLPl}=gqgmt5}*9*9z#se zCNLINE&z2tu{gqT)y?@`GgSArTAd}g0XLh3xiwXnMaSU|v`R9)OV zsb3H7E=HK*`))eG(P|#2pSApUJU8$>i8q<7VnL|3OgT&t@pD&>c^#_KE7zz+vFq$v z)b!!>r3|5`mPPsglvv|UM-+GeR8{f{D8>!Aj`z-%d~fGr3LPg{habTu-=U}f;}2H6 zoi-k9AC4L~}5G#NEZJL#S;y4yeH*ftJPo;J>LkUIu z!rSrq?}mL$s8Tw!YXUk~kmAejZ`ezB3BFE;Mh9qBELB3luX*s@#gHzZeO}-)=8=}8tv3vfu!cPIZAgk zU2Wm)GZpx5dU`0rNLA-u4#yMf!uNV*W{BC#L%y4b+!8%*L=XnB$--r*ZkxKz_vA+a zLd)`LSq0`tcS|{CKOH0t;Jaw!!faJ6j5_o7n$!G_+3jrLm9AV^IRbwh8LdVrOPl|* z+?T-Y_aSQYZ(syVYdNd86(XbHZLZAHH%M9g6v(D=b)Wq34ea^;U?QoqKAXD$yt!OK}<+V zAc8Jmn+kg5%38HOE;+RL+@ytsf%%{rRr>^bBrcLM9mjdWtdA%UpFENT31}fB>sJZ*+uQ=$to>6sEeHEG$bjmTxkl<#&cwJR`X2bWOdH83}z)5 z_SyOTH(Y6r{33%Y{b2k)Kf-x(dzR53+JIKG9{Mfipl2e9G3DT4FNY2(fimFLr)U0J)Uv#%Dn{~LB0 zKXz37tm%h`zq43^Bg>Ik&6nR^m)CsbuN%et_Y?~j5A6PB|I?K99>2^4Y`vKVk9;cL_O3vEY;h9dEM9i`E- z9x2Xd(ZKL(rma7dK<5g*jHl1D{{!!SLVj)C_uZSd!??K_D0}p=72VJR9qBNp;r5;5 zj&I_)DjHkYFLJ9GnP#Id>`JSSN>SL9sfMhFJhy# z)(FuUvV`Cd*V;}2hxg8Ke7c(6r&ZWBhG+YE;8G!Z z3*DVPl^86R0u!C*#jN)D8_{f2x_gc=q?y^8noZxgdv7;3C8IFk5>ejjeoAZ0MCL|3 znqUS0yCXI+KK$WEa3;|9Ga`9LpPA9)J2_3Fzd&1`YA8lsP`fDL!oS1*M}TepP`DXo zwWlq<<$W^37&w>;_EXnu|0ta`f@U}?zvErp-N}_+vJVr!6@EtB0}3XWT!=8I6m`u; zEp6!{uTvdBP(2jP`QK2Y_^nNMrXF~Fh}~ld_)K`57Xf;!^qysaHlx;(V{(<^XuG^N zlTBby!@sPmO1DMu)7M#Jx;9v!HG>sRe_KbXjNyIQhRbphxiQGE2rQ6eb!Mf?_NDzf@MFxBiSgbdbhI3i|e#Jgrq9i z%F)HKsPAm7ojR;xqxxHy-vmX!vn3AttC6@MLp#(&`XsH|p^W`*EX(YcjULi~A1H-L zfciojpqZrc!rXAIVNh`O+0y;=1$JmWs2;A$^P2&b{{|AvXvMw{7B@k=>1dNL^m;r< zirc%D?J@Z#K!#{X6lfRGyG1>)B|F84Q`J>3>>3dOB{YN6u~_Me(pC?R)eVm<@Phwu z^S0mMNF++GxDaGUOAP&?tMY^|JvEzohE%K7`1oJ|{=e$8$D+Jv+vX1-%}FC!4~T&s zbt+nPAt7qiFHkZe{)x_LIE=5(M!dYJC8ALu#j_k1Me!2q__EM*A1xJFBUYlYkKr_R z=k}&ADBRs|m-)s(F^c^vg8I;K&?j&#Vvs7}3zpIjvv+bKRBUw}t+= zT}wGNEg(W+XZYU&7}B6h)km@o0rjO~CbzG8$oK|BgmLT2^B$jIqi_9rO+DU5A`m&7 z9vv}>$bO?D=WYA3vm0CG6*oBTc{xRtr^_lHj3B$6K9_|G%lQw@_@FU`Ua&uosgx6P z?=knc>swD6dnpZQF!QRk#&m4cQr;1qcde~3^a%MySc#T2eS{Tp zlPVA }P+0zUj6QY6^;>}LCAS}H+(>U%TVxu5O6?apYRQ0KfCX%vD_yra>HXzI0f zGbbK9T_D)wLN__>3e%Ia-s)brv#DE580Wt5yJTdk3ujf~SBCCV4Ww~|mw!^Pug|&Q z1CLYfxO?E@09XkWFmV*z&*(8cEatwz4~tt_uLXxhGPowQ_?0%2Q3I8v`hl{MtGZ3Z zr*FR!4g%wbzLTfYW$mdW#G|Ia(R|@v-YqT6sA{zCp+|~=5=!4yK-5@*8vfw=hq7(l zT^X@0_JQ2_65bN@Hj3%_2+^sY*YRtbVD*d>lcMTZYv$|X9y$3j?7NAZaNPK`!&`3T zfIn_eP`2mee=Qf1mqjb4zDkJHShTeDP^;P*l6k8JnE`%s^L@>ll)MvdK6b;#meq!`c0b*CXnY-n!0Hj zsOc48T98TXv{8ca5vyh{Tf%_MROu);$TGGc?e=Y!ae#E3>cq$V^(8o?9|Pq&2-H$Q z?X?QMb|c(f@@omV7_JTuvwodWn31q9@y?;9FCrigns>Nc9mJifpYQ9iYmFvmA4Rw3 z8QHEH%AF49Z%FB$){2Dr5XbKhY8UvrI8^*3XEq^TXfi5Hd^=K(5Z?(%LUdc;iu;IF z9cQtu$O0boeZK+t3`rr?|)!78xp(zN*lo+=@U zc>Hs9poD+t%Ghw+);Y0-i#Sf%enGDaDtUik?kIRu1pja>5^M$E0K7zg?bDrV2ltBq zZ26TDIV!4#Sp+GN0q?AWtnTCTk z)>jdm$7J7n6Bn$;SoR5NN?l520G4#NBDuVf2VVNJ5~rqqwVnS?TDNg{ns< zU-r+R)^5;e0>K zN#1}jDJpr7jARB=Z0!Z^P zQN4+u#M8|cFA!+o!%GC?sfF(XJSeEp%l?mJEPNN_o#o1GN9Ce@!xM34ulSJtaT$BD z%KQ`E_}PzOjJg0kl7ahVtTZfOUhRKJs88=_Bfki;KW~bnL%wk*v~SH%rZ|5GX?hIM zXzp3;MYROo!wG`{t@>7B6_k+6&G@oqs>b*3Y-ctJg*?om2Wqj}@a#iC?cgqCR4EoY z==^!DFdZ!b3e_DFP%X6hD%U`EGK>6WT&s*d^aCi(lANU;@4EK(-D_bjj0q`5yAi$4 zq|?vZ%^(YHBPG}0Jw|usE%n&ms=}ku`yr)DCh+_9{-}mssdn%CE&5>vTi$Fzbj-=`O-B{jk>=w^@DEr6uf z0u{j~Q8HtiPS{9qi{f5NGy}M1H?|XydTV_bF`qv%UP?bseUn0cv18lSVz;uC>*%5C zm@~Q1IWI6Z=)AP}E{iF?-sGyA-_$PaK1x?id4JY(@Ec${48eoT<8v(TSIen8p?1BO zajD1n*9l%&tye^Loq>+Rab9<`jR=}~qk-|l*Rd2CmK%nC5Ij`8$YF$ZweeJ0kYhKy``^ zm6I0R`+Acc>>S${2{;9VmG8y~;Mq;g7OWq`cY@0MTnm9(bm#}oLa$KzGNUE)9tMsD zAH(|+{EYZwf&|qRE--VtMI`P)EE|`-6JazG&KKpMXV8NO4{y{Hj20$DO_RsLbFK_V z6LUsg`Za5@1CqMb5%u)3y~unKG{v+@4{c1=)PSNXQYWfW|}F!^I@X4kW& zu1+f?vj(z|M2KjacR$oQ8TTiq;vx=$(aC)%Uk7qwAy@0R5&lMI+p zj#Liz=nF19nw|We2bDJ8X!{|-bsx9Sljed#~2sy>?XuYF&F*SvSWK zKJ?qYt7E>&N8u7+VHgE*cEhiz>^ep_Taujo9mv0-IaU{}EIojr*VP1bQpW@ihB_n+ zJ6;5tx8uZwY}L)bYw>oZNoGF2gV`K@!f^7Ewd|AQo7T=s%g_=|!Mj}SXjJ&p6M#Te zZ}bJm^_b@W;t0E&di5Udp&~c+I=10e?yDLmM|-Ep`s-4))GAG?C&bP$jQQy(Nl63; zb-Q%$B!d>&ygc|oqnm|0iW8~oVELs_IfhI+xY*w-Xh(^dGMp$lVXA)2fz=?S@BQ7T zR)63al8;V#3Cp-4hJQfb(U=sW*Wlw%j3NF=92<80KI6MLBL-!3^O;uiJ=?YdnPjn& z1J<9BZ&vcSnmNF7LETeAL0PnGY1g&d zsRkk#s7M{ z=ap&=HY$*Fd&=`O7}~%<`@51NQm;)ER_k4v&K+zeXOlz!sD(&cXTpG_Iv)LH14l{} z8ew!o1`06XwPiAUaqxZLu$lT(7kzto=}q9EBaJgLJEHEL57r`Y!hRa7UQUOc=ex)t zjJh)31d(W!%T6LH(;g5vgqIEv3@iC>7g0l>3)&72gk)m(Qm%`SDtjWZ0LSzkHMcc> z`x{~yIHK)r2&^OaT^3!j$q7oN(gAPj#G`xx{YsDXu`jr{hT>fn*PE~{p1!d%*}YH57tVNznn4eT%$qdKvgzkaTzBfG2nVo$9zkShgwe$d`Ay zQE%h5oa6(FeY>b=Ea&M_L1`Fnh^ZM25EFn;% z++XXHo68g0cUHI6Kj?+7&wwK_m;kP7S0NUWUb{aU3Z`oP&JZ@oKdv7U7bmmKemzQW zZdeD##$MIv%|~&}|N0Ofb@t8b_G|~yb&Kn}YWx>IIAM&4Zom{?S%+SnzgD(@lQST` z1sFj6ut3;W3;At(k0oWZy*Ga8{oaTwCa^VCWeK`HA~86!13An;o{JiA3)BQ0KEK$7 z7diA`O`GNjZ-rlriT5zM?NBfUdeIK&;v8Lc5*-_zI$YgjS6qrWikFTXM4f!oKi9ugg51>y_z;0DSX<|XBclOCfCulwEAVTZ%OtSX`5V9&8-79%5G`e z(DWP9epSi~Hh?w>z`zCfm@UUFUKOc^lVhxbhNEkK>iNOafUN0**hpgERq$VAYI5#> z@p$F1_EZmjKNI-$ZFdrXdLKX&Ts(Tjd9}njUr)1p86`Fv#V|43+C_BlUCzrqF0V7>1nISlQwNFnzCJaO>dtASCq-3s}bux}$P4PtogsfPX-Qz`gh)Foe{-u~k7{1o{ccEq!;l5ZHtlPIbjuo;Qh=_xLSd_^dnRoJ)iB=fycV2`P3t6DTH)Od>1&q5NQ|4$(1>ZzARIbZ~R z5@DXn>=$zBx`&>7u!7c!MAYbj!dZaQ{e$i}L{ZuG-M`Vm)q?qUp|-JS8$v#9G$o#m zc19~Fayr;t%d47fzyLT97KV_P+kYqY`|D_idv)XODa^!FdlX!6z2%@0w@*(?gi77Y z1KE)+^=L=Z7WXaC_~GWkfs_C`UeMNhAS4yLN5YV{eI)a&vbyqs87U(mKcUTS z(c73u3YZJJiOE;WSa{3L%(}>Xoj{jBtpru0L+%u8bt5>tJ?;J6>f-_RR1|1kUiuBj z=cpt;vUu;!f#`o^OO6D>qTzAIj(2ffm|CL){q{RKl zmY8_tMC!zCpKXE_L*c4qfvSu4kA>bq3%b$3U&QV9;!r+ReVXUr*B%d+dA!I1loQeK zb9DvCh+)m8aPO#<+N2Z3ibeAcWg{EpkF^)pZSi~3e^~ci;gmkO1tD3NF#L=9piuL|w`b>G zLx6be#-027N+9P)m5ATb45qOKKw@ag!?CsLBMG?PTj%L?#h76Z)l*1R-$Pe1q!>wc zAJS!*8p+p+J{+RTtVo+X{efp^ha zzvjYvf~uk*7#P6IPv?}kT3G}{<}MTKi`ee&!Cjwq*5LM6CEs4V6oXcNF*E*KI&>B* zmQ}*Q$#Pht=^Q!lj3S=E#+!cqleDXIxMaY3xtTIKDBT(_FzEHqfx^$qEk|vC#Lj4y zopM_+?l}K|Gl?l^>D}MXX#w10q?7cul!_QHZQb~>!9`v?)yQ<;RVsj3DytOINs6E$ zsWZBRkTTKRc{#)!olp(MYn%_Tq4;hnqh5CvTu3+R1q*dfJYD-}QW{Bh zyqYYxoNm)|VgE*%eYzPi?bxrjAY~=(w>iG{w!$<@`_q8~!&J zxvvj~Qlnbu)tw3%#E3bih)pK6G6B)eUaq@OgR>nEzEelEAwJ(Zkvgq=knqN%CfOj- zcVoSUSB-sIpdY~?OrUGn*$>Y|1YXJ$EyS>5yw29vpjZa1sX95VCL0wqpJS6B-MHguci!pkvf!Waz|GXC@ya!< zX!GWRQJk38qtyGqiE#CTt^N(<=Et_)X7_4y2EWsjH4yXYIQ_10iKng35O<@jJ(x^i zzU@)F?)9$pRRg8f+~=B!N#5pUW$h15a>?fx5mPtnQ<1Qb;J!9%nn9w+AMaLLwFC54 z-+1#ry}}WFO41+qjhCfnvTR&%2nJl;c}Z$bm*3q=%emO!rce;i#XCr}lP8(ah9{zR<+mrO4; zGh`buDpYrhUqhRw5;Q7_GZ0ZcH)4ONY9~RNu2YVF5HO>+*$RL;@31{BjRU+C9V}D< z=?y@5xaVVqAa1bl4&Hsx%sNn?A(Itp{FxJG*yx0QdQ-h7ESdLgh{qD^q=@6r`%jNB zPOMsIeN}LU1utXoFb`U66!xuYCEXq_lQr;bhGfW<)q1CP=#e-AOc&VvojgDJF)Y_e z)^}>b=!po&=$EK=AdnydZvt@!y((eZ{wGKOn|uG~>{=kEb=_QsUgwoU`oneaFUn@k zofDKhOy#L(VeC?+VS|UHB|lpG0EGLjGl3il4EJ(SLxh`fW&?s?O10d1 zy-3PfVWSMBy(IVv#x&QQ~AL@dJ z_Way@)algvX&6Atk*`CCrfp0Zb>qG8;|I8FUARgs%;}Zj8Ai7woyeNx$$^wbCStEx z6jOB){gwa~CEYnuW0*NgXmqs*fhhGGhla%2C*w9LQafm6vOgjs>62Sb1>Olx5>hR# zufPk&tp%O{2c#-FLNg7|h$JxMWLW}hPrJuTX~RI$ZG#>&GRxg-u2T06lIkY3tl?~c z0SGtuVWT@Fv5%Ux(Fm1RuOg@qoRv~cQ@lvyFV82Vr;c=;Q;kw$HH*R2{8wCA1ID=r z5UaxwT;ItLww)yre>^k9_c_*ZZg&5x74JT|;t1;gxcA-Puornt6oR0HsLmTys~_MJg6g%f zW0O#a9$Uc~`cw93lw81*!@3~N)J<7-n2k+-_@>z!hnuqzi47uRY5`9$s7u3yoextpNZjgxLWR?4yV@Yvq<}&m>!~c8d$o#oDDiZ^w zDuHbS@_IVt|2pIdW5b+@hMxh$kuthF*%gN0DjGKLOY!9b@;4z3B=iM_3baRt#>zw6 zUxO(448ns0AMA!T```3mcTXFzT7_SqTz6LXcp!x1P9cpL{3F>}6dMVgnu%NHQIQ@5IWYdB*Ewl1{mv(wXPb!6J zolY*`Lm$LPuajix*L8uL_Dj3gpGwJRRbw*5&(3<~FoxXkVsY_Xzqstq=v0K;g=^2G zQN?<5v`hvv#R#8Jp9!9rrD@oIzc)xyoxGn@?^9|641NA zGJWT;nE-_(E1L?*8BW@Z}so`;_FA7+}$dnu*EESx-9d{VrsR z3le3usM_RKdV#ar?R9XB0$;;C2iFmM1$pa>0-4xqFg_X&B4DoDJWAx8^J+~Ky9>$SNTLdU`|AEu}L6Y$)czdBHoolb>}rZ@qEUk zjZk!^J-oYjYafr%HznuQrmpr!R0Rk{=UD^89oDU>oJoC3I?f!anYYnr6~^N^hJKc~ zR@!TEvV_aO(o;@(j<(W6(wWQ)pH+fs@tOJW5b%5Nao63stqy8@y}ySmEz|{zXAU7E zsh*M@t{xe$@rR7{o4>W@S3vfAl0JGQma2-` zg?6f%!?!u~79P~V(oPdSojH3*U1UryTLcs^y5$twPMhx=uGx- zX;^>=;}#?UZR{To3hE>_{vggZKOFRFMFznkUN^o(>FF0L+TNTM6@(S*!-99WXti4t^XoM@${g5}h|L8?A;*ake*+ zdOjr*aV6I-c09rPNaKaF9`6D0H0msuT6FT(>1v_aoSlt1cIiRDyJbIEyTIgZIR=}_ z3^{XcQz)b0{F6`pU+PHNVIGfRZD`mVhO?$O(evA)!Miz8~t~j$}JCZt*g+`p`)NU^~ ze3Cjqsts=-E$HV2HqC61#l4M(nlT%Tp6BCb7=89uneau0m|3(5T_2{7N5!Jj4m7+V95CQd9 z_#|^6o{4vtEe1@`BUK{X_UI z+F{m9Mp2M*5&2ld&(+w1d9Z*_2uv&9;^pKaZWPDRJ`EBO8KvfC{!P<_;qkuno=<~# z?rgHcp<~{|x%Rf@ilG*q%D#+`zx(%ZnOFjDvg7MyqK(1skqQdR zgGu+5#oJS}TcUoqCsY*AK9eO(ykwY+aez*j$EvXb@kSU7>oyp1C*AAaT*BXj#z1kb znfGxJtKjX_hnH!E{*TsnF2VatJH;0YYz6M7f6{Q_YJ%6FQv3-{`JPxC0W#`(lU8_O z>C+tVaY_cp7y4g-`^AcGmA1{==$y@BCeX?Kr}I77VRpWl@-+(fE?x1mj-$lLHd}Ne z*K+l@0hvBlfT=gZzIGJDv3I2h2~|H>?;pU$v)V&;v{SsFBLd^X@_za5IbXFoItYs+ zQ7H~t1yvtKzndT$IU2z=vwgY4nczfqYv^Y!N;;*>Dl1i?o2trp;#(5Lk}mJ_ftE-( znuW%mefmsnT&fc2VfA@w&)Me(-N}cB8O73(f1E=U5?NAT2)`OD`=O#dgfDato1hP? z6Phw`Vby7^e|#U1R*@%48*$sWXQLTTL8I)bvZ={X_RfV`64^y~Bo}9n&V-U{bw_ln zafCIWMn9jI7LI28g;O+{dXZq{|Ip0Hsdny6F!cXc=xOAQW}wR4xq)1AZoOHY@3Br^ zTonF#?%I%uoOJmmpNTJ@$U9LkJVcg+M>mm#3K|mQio(tgr7q&90k?YFFGDx_q2{8B zcIjb^9zR;5P9NhifDy=J%NfK@TQ#TV75!|{!C%#a+|OwIQ7H4PpNeo)>$L;W9i&DG z|NV6EiV(3}spA$>V*H}HGmi4_=NH~cllj5m&T&L^yrc#ru9p0lvUWYvx`Hq$7A|5< zyskb6Bs#0>*)Y6tOC8vMl7PxSXChF};A3>EtcH;&X!LHU zsbgn^gNfM9Z7^JEw!CSRz2=y9G=;|=(4Lr)wBESaTk{#k5h2d%A7O40(v2%C6%ze- zeZrJQ^tusn7$8i)Cok2R*$)CoStIjw?{}Mc3CvJa-uWq~De}HLsfa4H86-HS>c(_4 zKs+0)+8?O?D%fN`!u63H(;lrC3p~OzJ-+cDRn1Ds@OKx2{>3~H?cldoSM9JGAI3*j zzR$`azsS0+SV?~G^TIxS161q=VaBiBzxnLt<@mm%n~{!bWDsA8TP+fYj{r<*{ebIc zBr5Y{JGJ;yeh<9eJs#0TlkJT%Az=t(%Ldr>lLZJWW2_Y$*BC!VA!=66B)6y{!jV=A zc%PUdQBc53e>Ev7`d!wrd$vzYEhCgr22LBOp#Aw?76&an@Td{vT{M)CBsy)?MT94) z{)W{Ft{#Dyfat#h(GDvAm3!*Lc4~d&)2J0luusMO?2KMj4`&5bN5_tgf@$eM<_yKAs-9NOM7x;Rh`W6USKqpv?jKkTzemFo z*^ZEmtb~M_v~HR4PG|w>gKA0y8#<0m^Ltdmw()yDRWLScet5>rqDSMx1Rgtwqsbur zagfs4B;Di~)yK5dj%2ax@c#JVaClN+3&H?dmbYxA4Ob*~-O?Lc5;K}T|^ra{O@7Q_W+ zjvO{f{}j$TSi_Gs)%)C`l^4bzNkt^416XV_THuJa_JRHpu8}MBRWu%QDZPZJix;^f z{wM=l%{}%~iWdNZ+KN7TB_B?-TMR5oY7TM!NtX6xFF6=J)_r`mPi0=@#WbfUefEek zPrjDR>8)L!)yZOw|J^m{O(HhE{fUPj;`n69CDT3J(e)Dq)x5)w9VDxMe$rm801FdG zs)O+68Zj;mJS*~ZP?xe?&+ptoCW_VqnO5&}1qEu+U?s)VM*2Hfls}ENmj(q}ei2)% z6H{V$udu_Su$=lhzY-E?$BKe2y0PpAUcaa zlTTK{P5YWTnZbA`R@EJQVwhv=1wZgInUnRAKV>>3r{wyAf$ML07}TO+gaFe*`@MO` zBPmH|uHNq22Qv{Pu^ z(j}qqD}w|^DK^k$%-SFPOye@36pMQJ%tg2;Y&jW6ZA`5f+jqDmn!L7gf>(t%CpX!! z8D&Lv!Cq!Ba-U!Nlt&N;i%=0Ab<344D_iMuy z1+Dr+I1NES{0ucVDF|?#ti)IRD8>vhOm#^3%y3h|dyI9!1K4g_4zm!x>XQRrh4r_D z0K0{1Uj4t2umwB( ze+~=;y_|xE4sZ|64MRd=g%Q1J9=L=bvu)l%J#*TLrFjSy*}tgBRnxz@FS8K zf1&Y)d(#|iDvur$CM(!?1d4n8LzH`l*?H}5{NNRj@OaDk^ft0HN4LNA{b2~(Zq2&` z2CAoNkE6Q>KN`O2uYQagNrKqeD7nZZ4w_2zW$5%|Fd_YOXflp|Ghjwq*fgH@R^zsK zZ44pe^W%q&tDhs+0_pvYal3D|Sx7K|Iu40)h`P&vfBee+`rO>|FHphVgu7UOnegkc zJg5ST&f0q#Zgp%0vU>PYu2VYA&B)5LYlQM(G}uYp^oG}gq!s`z_z(F=puYKDO9w(6 zv3tJ@YAVzVz)c^_;rpDyr>R4!b7tvzve)ARepnMSz&&XV6qer;ld0sjq7=hLGTsCP zYTw!#zpiM-PH+koC8QsS-?^uLXAARNA}S_q>7pT(!Oa&XS0; zeWVu@i08aKdrIc?=~Ln#eas83CsfL4gd}X)7Dw`uxteQTTdh;lWWpyJT1OXc*kG-# zXmzvThmvillg#F2lzi)!#WzeE9vkhAf=nM!(m@(v`0N~GV^v5a%d3RQzp9ke0jHu;Dkh`^|{Vb;IbPEU0 zwIgtAyem1^mRCng^(l%!?ZMwUaj5L38d106Nyb?Iz<@^rP3M>(TA)B3dWigr{(bEU zT71xvP`5uOkmg{+3M!uVkNaW1kL~jM9Q{2FY;>$-l~?G-{1F1aP$=fMSKqNSvBLYiaG)ZY9wb z;;~=Qe`>I5wtqB)zJ9U{QxZ3GP3ILI4Tyw<4e#et4Xcq#X05;ZYrp|HaeX;}5as9S zO8;HVbLjcSnh1}2z8A%&w&SC9@eHG#M6!L8gQrkJofEMW8@8(xPxG}DX3by17Q7e!ivFad?L61%;s15! z=xe^e?^_u>IsLwh{E0bgf9{F62_71)gR5v?dK$kntf|~^_dpl2OB;0@KiH*i9sMzU z`u_YzM1!XUPqhGLvASD+#RHwD^69emnd9nnYdlkYNlrVQLj1HrUCvLARdbyi z_w&Kxq@o`Yc?GGdw23)giE6J@4_gRN$)Kbts+`~(qPYnFr{6TOvf!~8qZJJEF{ zI{<$Qs}*gAR(U8|u$9(d9u@iMAV3E5KmH{Z%deDOik5 zjnwyWFU>zBFa?`{>U^u3-RK*c^+UL!^{dl1?X*KzhQ5zZ~^81=G(Qv<4 zQ;lSig*5g)aW-d-U}M!OHv{8TAcuaYk?BBxt&>m&l%_L-~KWI?J}I+GuUFXpnB{ZUyNSk&^DN1xQJEH%fPRcXzW$ z>28qj?hg0#+3&GGygy;y^NtbMb&l;@v{J)bd6MSz7gA6nGFM!58cE2|i)r zuw0@(3@yIdmm1wnf4+KZRg2Ajo<;P^c-=tZlt9WfDsWPcFMnU&ErikrRfrEU*tV6DvJC^i4O8cmArl{A&t({(A zO^5wW|1Th}qI@FGaeIHjO${jW_o$y~=HZe7)7O?g^#dc8bUjL(Xt`=Mmx8*)hNYkF za7Kh=@D4Rr3hWIogj4J1EI8-4y9*Y0~0$#k|#;VC@UUn z=yEkiz(j(`95x?YO;OB(#ADKeoW9ORvTn=WN_6dbL~zH98Z3T7uzoxLp_IDuR`*G^ZfIv?pGfdY_h3Bi!ux$HZBKk zW}7}k4F7#*Ro>292)av3l=Fl<7GB`8JUqY-+%wKzkKjmMz^`naqEIA< zOIjWLaH~yfh=tLQZsPI{mJq{SB+zdKzpeLM$X5~Hf){B~#}u4sdD@Vj*o|pBCj^F3 zwa})~98akN6{L&QrdoW`V5sdli?dTWsOs}ApM z`a*^3u3n$JxmugmU&AqQ!FY6-3i^iII6q;qaoMQ*fh0p9c;x&TP;b<2-$KWgtrZ(R z8IZaaiZmpqD1r7Ig9D8qc6#HUtV^^#4A8`;NO76wreeZd$&sstzjV~q6GO(%r`=dur?}pCrbI9kYw)CNkdGV zd03GYU<>t5wKB+48nngJi|p`DgN)G?2^m1}c7VJMtGnz>bk5~T(ss8rV*Fv~vLel(Z-Ca5>!Ilz_JMV)ep-y0TnSuU}upaEq?*D!Lmz$L+ z;OldNA4IaHM6^wVuTKMlO&f=N0|QC}br60~q~tqgG*A1M@F882&c5dP)p{Bdw2i|6DW+jy97 zC*qVDhxF7w+}Jx&$UR^+rqb7ZrPxsuz@zH%29!5HSy2T>=*DAtan+Ga>xF$|dNa1u zcqxWP`_hNjkJl{e=K*UABI|EyG)GF^pL0QR1uyS{iM?W>cok(0A);M=QwvAhH8dRA zWbPt45^IS31sqbC$w9W@c~_NN5x8Wu_v|BV49pGs!n~}n*l=p!8&I)8vSPjkZ!(rd zQDi;9K1PNVTdXm1@oSqW!fCcdY@0E!^OCj#mJKVF#zAQh^xEPVhp)WUEG*KUyVib+ zk4#b(*-sn|IDVReh;hv)(Ygc9F3`Xx?Rxg8)kAk-$lb2t=^MuXRpF>7^2fN$)aF;YJMb7H5NEMkzQd>tWHv3 zL_}eMuS_$u-<-J+*yF}QCyBllS2mk5rqu~A6%QBu|x zJSMnWHmCvL%1CI@bmcgW)}swjplZ9l^;+ncbH|yw#q2jR1fD+fy9u*$ZBY`R9uZVt z*Ar2`3Y{tyQF&$dJysr%ebEmE;#;vBN#X0<$#Xu!vE#w210O&7jc>W)^dL+GTEiUC zn}kp%q*z*@%M?rR_K%B$_0-)h?o5`Iza(x-a;tkgZ#onxRbsX1(Tz4{B01UM^%xAK zun(+Aw4Oh&7 zwOI1Jwdt!{im2Z!RtdZQsZJaFBhJEZUl2U|OpR1Rg@`cE&@_F7?mMp!+j)=U%&2Xu zbV^eu5~o2?Oh?dZQI>JRukMK!gwE;xR4)Ba>fUzy7AM?XSryw;1=Ss*4+9=T(vSEE z-feaL)PvV;@%!}*DyO_2u2ZaE|96Gdm{;-KHTcL}gAwUCUAa`6!qU$}*Qjsig2nU9 zvnLQ!@%_Cafb3QR$O5he_4*e~&K<@gu%elw7xlewgV1|B>>LNFX~W^;fIag7Bb}qS z$ik}t!UF*vaY` zzi8eR$D}Fj*gIj!I&hodA1xDF&_EZ4PEvO5L`YQKH!_|&R^3`F^@|0>s8Yw>2{68k zg(?k!Ql3L8TR{UP8T#3APClsS<-`+YEoH)dr^{ZX%c#yhN@fyfJBp5j3_nvmHa}xt z=wWVnI~i4|`XqFVYSxpTxRe?)5X$uV#hAwO&peC5BZoE?Blx;YWabI&0bWGZ=Hj6B{&E}bsru|!Cigq z_II*nE*^IU<6k@W;rH8exzXjwpHhL9p}#r&0%YJWK(yc#IAU?R!Z&N*(zr)qpY7-A z>Z%b^pgc6!u*ON8EXvWW?4^v4@Y`w5B}5qLKez&DkgsV5UWe)42j8*C6njV{jMlFh zpzlvQr>xK6_$B7N(inE8V_~0Zq#~h|-$WB<^cbo zMn4y^D3_v(9PJOrM#LFznXz%j6@HY-oWc@%pBUg_3z71T+IkmPxp$2#go7AAaL)y={#H16|vt>U&VdHNi?kCfg)HORX zck*B-%i|<+xtbgaa`UX?c9a}2VMmDPP3}XF@#d`?0a0-hV4KV0Ky{%>&bxrKH|`;2|s-pe0A0_D#lj8#o(} zQ^HgY;$g)s_Eh3N+L9hnj$xu{<_5CEHz!N4^%>N>5!^D`SCC0TQ=Dr zL)mc>#C*M0M3yTWGw_DQ?;LnO0%NuUdC~D+f%lFcNSUR*bO4 z&#EoZrv++I(s&}#W2LSb^j8Y!tlz7+i#+bx-qPqHZVlvpSYB6twnF&=jsxy?OfYCD z2}16BvMDB4qo1P(+i6Kk^Vtm#?;d63V}_&(GnXqVVFuH<%Lf~QC%{oWh4>_z%`1P? z7AAhJMYXV`k`k@N038Oy^emQZtNy976$-oLJ61n0P*+B)D8O?!eN({u{`t>EQ?N@w zP;Il3)|L4Yd@x6hT)uX{xM_glxks7M1^6n)Xj#PNbo1o11I9+{Q!G?~0rmDn4gGw{ zJD{khpsp~=C3GhB#aAK$aK~V)->oq~U{3T8b-KT7At!C3;sW%m*y@c;X}E zNZUJjiB94RCqn)pI3fq%6w!Xefc^X_$QJNpP4ZY2fghVK51V=|3TXqbK~DRO2zU&* zOVEuk;;^@HpdTQp?PNrXAqJiCze?Udi!k@sn(a>>p8g7wG3@7nfz=>RfHgQ%QB{LY zCjIt>50<*x$i>4sN+Q6K&~zvi@J-rFEZL9{8bPS1-C)GO;>clw4Oan;V*s<(?QoDx z?}_T2btCh{-L)FOoIm|LojP`D)2j|MouuG{t*+op0VGoO#tJlcfT(eNToC{i&Zzxy z{3ev%UR~cV9G`Jrv0SDvX#{LWa^6GP`+Mk#o^}xU+MUMQk{V? z0{%wxi-YySJuZ;Ui&xh9Nn7Q1ak{K}hYUB{(rFn&l$hY{b012VxG=#r`n1C7>?O!W zj=8WJeo$0pqV@_~bR<2gkiAqDoz>hyv^l(i+!l z!HCu6b_^&YrwBY@P(H*0mbev7Q9g(F0BWR(WwS(^B|=WadFRd;%L*GL?jok}uXJ(q;wH^+cS$6m4gvn;9iyorCtj|^8RhtBR-;{ zej__MoOsF7=G=1NetxDwuBV1(r%8g7{Btxv5bqQ6B_^>y;T3wB7P`F4BP6+0D%kF} zt$UhIuI)_!(=y<(2z#Q;5~T^E?Ai*#`4-}o&;1h-=x%t)II%sGf+|n&Z)r$WGtt9A zptF?#@}eF7V~y3c*CtXKvXftJig@fQOF@Q^>r$nNGHjsi=DZz%+QbaU^6x6QQh^?| zre@6S_VyDp)oJ9b=3B-k?jrt8?R1pM5XL-SqU>{{qoD(r{;c(pBI(Es;Aey#EI{^% zC(mhsf<%Ol={A&T^V&)e7r+k$9@Cn>tzvkp+&(c$1;ELdhM0NykWfq6rM6Npn-nN1 zaQ83AeG6$}0C{VyYP=InT)%1&bS>H}Ta~f^D*7)+60uuHjY{!JAu7qQM^nnM8YXLL zLW$yFC_qB5wc`@f+9)5Gt))0|r6sn)b()PO{R0C0yv#%Q#HO{S;X0nNxcqN!gLSW~ zk7xPJe+x6se`;!-byUBwRRh@}z|#~`^z{>zggv=GJ%Trq&o_Xuxw&;BF-tsSo4e9V z&+W5H;<#=O9_OxYJjSRNkN>>Z?Kc+JZ!+UZdjGn$kPh<{0l*!+Hu>7@V#cUj`E8#O zP4(skTuR9}zWRpTCZ0Q6d-Fg zaLVgqj&d!Z6fl1OJ~RcQRqqs|Mp-%TX*y^i?!p9*Ru7L1vkp;iMU=a1c*VB(Ay(Jw zv!c$FuhCe|3|-j^%^ogx8u1-x+-3?6I;gb#i6sx|VGh6C6bPve?2r(=Bd51B;NWFkHb?ZIcL--w2WtqYq(+TzV$c3;OnyVp6i-N-?fmXhM|OHRig-WG0TFc!sTpks`65-3K0dGCTJRTjQ3YrjwAUk;>2x~) zUddBE^cOFia8%^rvOU&RVOVzoa4=}#Z?J}avhs8qBl_eP3lM0g26e}-i;ZpLrHZm-+w+hV zMVp~1!9mZ&WSMZcmGn2<{mwFNw1M5m8%du=Tda` zyzIW#ZbqP0C9oHDrAe+8WFMtZquV6=9S?%_8otg+I;FPSXqlv}ZE0yVG=48=Gx<>%k4@75{+FgbK>~ZuSr;ISx^(?E zr-i3I9I5njvk7s{edXdf$GOA?(JC?ve$&(EISFxk;E#Mti=15ij;H7_7!p@v4X09nOuXbNnp?L zykh<^P50FJwT6+IhG+y@QI7NYXDy^?2A(_4i^Ke@;&OyP~6; zJ-+F@+cpUS&$#?DdPGD4jiQNErNSO_R3?5zL)YV7RY_iz0Y@r8{#mlfBzYYNzSm%| z{~lll@Zh?4(R_|WWbF+7Ic%DfLWLE^6beNZXn@CHj0;MfIIAU(G442$aO^$W?#``6 zWR0Q>1t_JCktxt#YozTW(8*R)dw+M8c1%{%3mj@RfkI^RBXt+!VW?`cVp7q@g0+P5EOqQ)&07C#1-j+ZjnxjF%Q!jJyUGtTv`$P$W2sFFEx76 zkYncOw-@#?Sa%~OsqW{2giW#Pb#ttnQsfI})bH}hw;ea~&faG}wU^Sa$Y=1jZR3dh~9NBnR-rBdKL%M}ueYLn26eTH52a4cf;VD@w% zd=V_!<=588k|k4k5q23nnI_feo%8WusV=aNCRUfPR9fbW0KhrICO>>WOKi1!S?r*H@3^EE9ui+q8|Cw8AXz!dE3G*b5WSFL`A8lV=BBzWH z#W=@3P*`HAK5@QqwS-1vE*%OfxA?@h(C~*oLZ%lCzA`t(06C-3G1}bz(W*ELf$Lm4 zY@OQ@bAlW+iov|$MHd`We{ccX@gjYLA?INp~VKve{}CYoBRSJlU9OdrDC5|&5VRS#%=Gq{Qc zt`8R3HPaD?YPylbpu>Nh1sUDMdX8y#d)w*CSzPg&Vj!5%_Ukcr0dzJ^ps`@QC|D#< z+)Cs|nrcPGQrl}LG&HF~RV=_;L8&cao|1u4=!XTKO%h$IvUwg=xm#&?xiXp%b9S`6 zg}z>zC}wF{1ZZfkQ#N8=+^bHRN&OP?`>1$emFti+5uz<@H$x%Q{F>J5BQ*!{o7-@4 zhowmt*Ri_n^$#4W-0&r@ZyO0Lr(;dKTm^@ZAB*R;s zzUM9%loOlp#)u~KsQy{uborwdp9%MPo?4q=m`P{b=Lu7j6}j3+X2Sc|+aQUojs26d z+K%1xF|zYdiuzdZrxPKOPq7=L2y>_f$0y#3esR2GnU9fdn>pVTkyO>%kN?@RbE zjFoGD#eCdtzmqi5-Jh%2E16UWfEWc|Az`I5#($gK9~Et*yP0i7cDBCOQPVdt8Q#gvipkF@)c=!O33gv01ge)9xLZ^6^!xxO4B%-1Y)zhs>!B*y-++rYwqaMC|K%kT=cPiHZW+8By*eDtIUTaB0qVl&SU0# zE7^%qvek@9O~)T(X$oj!b+Qk%6pWxk5lY)%6k8pl3s>|_u?iq@-x{5&R=T=kW+(7r z;G^h?x+DwGAb|d0Tmp|+t-hM>Rwn}29JEgB_Ek1HhVHgSp0RXobBd)tJ$n!K_<@u42J_x!h-cS;bZZi23OXJ8;=77#*~;o! z7&xCHmF<}8_??f)9%5@@tIKKPWU|tCAO8gX(|C)T?<<4kd>glmiAz+jh-d6j?jZe| zbD7N}&>!KWn5O%!y`T$51A>6MIM`&s!>cG#6yHIPs^BoiUjXjar{MZAcs0}M__ck0HJ^03uI;L1!;k+f z{$ZW&PMxFp+ydL5PRQlO0o6&8(lv8tz8k69bQ!o_G`fMsA7%k?L(_9hlF)R0d%k@` zZ8JVqH~Q@tk^qk%9)VnK>WVN$${wQ)cnSObYUY*P>zY>?eL_Q0ED;mdelQVpO>%n( zb0xScc(p%v?|jC*PGWgQz7c^1@JmU>C+a0t01oaQ-4M|amuV4H9@gNlPvlM;3%b+5 zPH9G}H^7hSV&Fdtc}+*Npg^8jO4#$9Qs*HYqY;LTbISX9+x)vuj*>R~5hrff)S8}Z zC;@-@aEoYHv{15lDCL%JFeq*m7E>D3fdg_bO%vJ%%!=@;;=hPGEJPO^tJf=6(`aTg z=OjaS=rb!5cjwJjyk}e5#S&G$43dZI5UXDfrsmFYbGu4Iq`Up*c*9KSkS^3*dOs11 zy3Do^(gww6r-Z<47e^W>18fbuZB{1rzI>i)Q}@%x6!kgtk@|rtp7P;U>yoi%yZ1Rp z_d2E=NG`<`WMXlwsINzz^8)!m^^)aJnhiGS`StDXL#&u9Ww7)n66I$idjiB_7NCU) z92)KIWFfB?4xX^rp2PpQ5HnGGa5+^Dz%%UY9b=IR{rWq5svTsD6uD2|ftd{S^zjxd)Z`D0lNZ0bQ(4#g2=#I&TE{Hy2$7lta z5M!YoGgo@o`Jm!vH9@i-pXNHBu~lii-`i`38zl^Ysa3!(n!PVH$UP8mleO}sK{l%~0vnzY%XqrbA-vZjUx@P@c_Sz6xL>VV)FByCj(haXky_y>)3WTPj zIR-tJ?|#Tq{vNyt4h8xmh$JH-z6_#y*(7B|DiCQLV@pN1qB3i@xKb{+7<@@4)Y$hC z0UY7ei-mtOiV#`H(DIbVgRJ$>T;LQlmC(srE!0L*X`kbU*Yc#o;rNhZ!9s*Hyhlv- zkvo64JF)};oXwbdG0Vj)Mi#Og<1zCxfFg-2?%lif4|utW$ufkTgRR$j&t5mZp& zd+QKI>4@p4BDG&%@2XvE|wU=0hypB67BCU`0l#+z8=A=hvwI+ zQGBTFEq-~cHdI*+lg`eU$#6@>_nMAexc+$;v#(*o86n9=mx zX#u<9-Np>^w0*JRMS`e!pOV+WLLQXt3mX7HJ^_3Y62XjGg}Jp;alz~EaBPU zqcfJ4tKD;A&vyvo#)?!lU1fK{^z^8JM5M8GK}hh4mBk*<08M%`h+4|GL?g6T>M=?5 zizr<}C$cLoX0>cCn7|~xANao<#ICmKzF6SwWEqu>E~d3fs}+rA-PMy)BbRG|cQfzV zWDM|>#l}AXc4G6WVZ(kqT)L@nWL9=N@S_SB+9+u>`d5do9Ur4#cpV3ux9+tX!}cbH?qU9@q3XoWDCdCf_%aTCR2Y48x!I4E`4jVtz{OQ z390G;S9&L%{Glm>qg-H-t^zQ(VnG0iJ|eu`EHcBr=_bweESiyhwr;ttg30{@m%L4O z+2g;Ejk)B1f9k$bro{5dYkQp9A?2M6H+xS@*nU(2puzJVdo_WAJ|>vB!MZ{Q>(gA5 zyE@sZ%OIR*GBgVkd6y%-iw(ScAvYG`Y#a2U?1AbsGq=H+njG3uqI&0HBAdI+s-HB- z8NsVf0w&Mut^+kMfugI>KxvTEEPd3Ud653v5F*A1ZP_4w-oI0_8|ypuLn48~)NM~| zS2uf?!ZGPUB{O}G*F7ADYEA~)AzBaXm(BiUnMzN6?=+o2 zLo>HvT~VbVL2;eoX*s+cZOZhT4nTR&;td=J+}ECY0njUa_LL6%tZLgstO_&t%dNiM z_QK2Pst<-K=2eI-)|^D@&x&m#er&)2Py8TtzSnf$Y0!wO!de_2Ul2U(ZD;a5R`fF$ zvrZWfpHyBel=R~QtBh1_5umx!Q6-2My@f4sVZ4 z{Yne~T!JgLdx-x>WZ+wB8C|-xt{1r47S7aEw}70EKD*@R3pC)B5k@CkI}&*JF0igv zzf9M=JXMqy1fpew%Jqesp$qYU!vx1#7i5^Ly7vPmTr56-B8(unULrt@S&%O6|3ujp zOJKz~Bp79tC1g0B9)thWYB6oKA^@BmntYalklxXe1 zDMIolv*~}geeU9X4kWzSy_?BM+CCA6!vN1hkDli@9b~@X06J0T>>3maAM#Ow8I*=_i-C(|lxM)Q)4giT`z)8K1_BG#58RzKwXW&=}c zFu`IXUO!n`#+3gB7OEpkR!kURKCO-NeZSosm1zb6qRs1@4xVRR=jqEbFN=+4uiPKgznT|i_Ue*?d-g8T zr2txH6LD^YL7WkZ`c#PanlFRf6RzWG}BuvE;$TPxmPm2tr(AL zzb1k>-=8auTOqRUbxA@8^qchGsFki5=8V~(u4x^wy1L#>DLV2v293UKT!?5AA0!Uu zQyXV2-C%&P_!J3;_Opg2D1qy4bUOQEmt$CJqDz4km7&I~($pXcPoYOa9j=K(o+lYI z26&~~|Hz}IV5`xJv-oXN&nwX)#pl^PSA zNK+L6Wplfp5y;QOItSi+5qi7yc?$Bm{mWZP?U_0Xw6|Cm3trlE=h8QEo)ak9CopT! zCN{zv^ z{s%B6l(rOlS)C@y7m>kTDymVZpJ0GTsuwwS8Z9j!GY^%jWv`NvZDWhlGEkH3XlL9A ze`y8}|8naondT(fey|)mb9eQeVD<9`T*RSm*7c)#JJCZzk3w&nCktE|y|#bp3E3qU z(eDagJVIZ4a-qVeI?SHo6hAjUsdfm1GueJAXQN^seVCJ3Qin!pAwK zHy~u!HwsKbD264l1urv!^sdFlZo9#thIf%d(6TT99rEGi0#7j3xfmc?zw$xu7uE2juOH)lp`O(MY=IvN$ZDa)0%CFUiww*$QiEVSWKDgD?U3^~v^=W9%D+x26Nc~mEON;0TE!AxS3ZqcU82*z4C` zsHHmxpQ10EL>6vZ2Y!g9WSj_c_#5Jn>V!U6HrSj=Dfo890LfVWr}rm|#BBK+!ZD)o zSWxBdaU?8`P?h6+zh%lFBvO0|b8*yblfQVJO2egsZGVTR1~|5JfR0!PH1g$c!UQm_ zFgFRY+TyXN_)LMZA>@5AY zsi$wGJ&6I9XKB`HE;7i=VwpJR3SQ|%BdBHQ(hlQ}vUKG*gsgf~D)V3EzU#{H72eXl z&Hp`)di%Yem9S1Gca@u6J^CUB`aI+xgssG1*4)mr0R&3%52wbIf;iF-5eV^`1 ziFkZ~V0E4M0ZpxZ)Okc(f?U#bME`akVQu(zsK_OflxP`YMjmK9w#kWJZ!sr`ZTvVd zeKCu);NkDCv}&CdFr5=D05%l%<@XjvJW{~>6n7Ae#q`LL;c^;@B7+zurcSXZV?=4` z%;jp)J6+PiCV8@=D}KhGx4PsN3RGlkeM>+7EYxljlVG7Z)HWn*MYm^cwXyPUy|zuUBvN-X+Kf zF`4e#oMxJ2Wrf8@tAw+%^YxwS;|mZ?r1Z}80px60TbxLW$3(s{Hp3g~@ zVs?q}qR}Qlu)Y%|_58Y~SG_5CmTH~Wu^+!z0J`y27_Uz$Qt{#-1kGdQ~qYTbX9#*+BW$8W(&s0Miu2mn&9SdxYDHU$L0G~AnPsyyJ`^u3X ziJSV~kiq(jE6?Mkj!s>vtfJ0mcDMO<{M)w#$*9x+ORNr0sI`AFvL zri9^R&}Wjk0Ao=#Ay#Xlulyf;ZTg|YeGD3fP3 z$;RMwOpk?~Nv%w&`X_R=NJ=0QePAkP%9V^B;lU&j;yJYl+ zE<@Xv@a?Co@={!*XVHF1v_D^7BItq_p|JI4c@3uEadZ8p&4~pXSrm#;xH_y|MnXT&MVuzC;HP)B@ju0q3Lu7t}!q%pnf#c zGl>Blsgw^+t9AmyW|uOghU2Y1#@w!=MD}ws3j65G*nVgszVI$qD3gt4ZDdBtqVWVS zt4HhZ9Ivn``JvKc_|F9|BYD@Zk!Rv={@H9ufXXyk-V(7|`>?<&Vd_&lPt*u!Bgw?s zj$8aL1Jmtjq*d~4O^W6Y!?=u*_^LJTqCJ_Ssna-^l@@2 z>(rx1r9tD$zer2~E1?W91@iAB_uI(&V*n%}VIeFtIX{To{RKW+&nGrK;v^rt zjTtx%t`DUZa0h-GP5L9%1au)6tjt+?MQ}vaAMK|pVE3=qx^rBI8C~Na`rwhn)vXYD zFRAn24?yt1W7xb&|AkXpHx?S#x;N&!d2Up~C~_^q`--_2{hL->rfQ!Z{)rHGo4PbX zY5jgB^oD~(8A?sosO!&nogI zJ(H_`@jyBu>Y1T9r8(;GWByB+G@4GM}ZHe2z_FyKxD?(2B5ow;~{}}5KU(R*0 ztQpQ!X+-=#qJET%w`=pj(erVA$jE-m&|~;}g#i@BvTNi0{~J^)%f2^>^?a)FIRc;q zu>Z7Jl|4xcEC`;ptdVVMWnyzJ=uFJ+bY(#Tc=)i3Wy9@us!Vto-zXL6X`fW#n)emN z<(B6rFb&#s`(m%7zUMhOV4aF-nl+6O@w3M|m#x|@MEN7c|BQNOmI;tOSS&o&Cc(+L z<6-?vpj~DRtJ#9f(7#bCSYQLli2;F)9_p9N{lAAkmma``E!a7_Vq+1$L#M@a zH3JTlNG!0%tnOk}D$?S4YaL~Rf$_iGMJVl+k=@d46T9MscU_nVO}V_aVs}aE3<3l1KMhbXaI?c~?ilOw52cZs-%|=hU0mmeSQ?N0n53=~UsI z=JX?`!3SteP0Ut_z*2Fb*aLb^^A{Q$zjF->* z^AKaCAOpwy7<$wb3-0cjA9ABD3HxKg`j%gkIT(sP2sF8Z4Mz|Ub6;@=Fnx7>kA1vc z;el}nOyFOlo6KszJKcoOsqEu3IpEz?Ft$~xl7`^J(uTTyFkyjlCKscEz~mj4v;VFr z(Cg8hfOyszXX?n%2UBbic{ixf=0k7$8U&N}x?>nU0cs|ki+b9!%wxA>j;z_^ru*)u zyA(o6mLkq_KGq}&^`43m156n!)4o*|a^`R2h6>t~=@Wu&rl+~CfqEy5M-i8)iKhZc zJ{C)eAAY!u(BuE0=xQ{e6APWOm+SSGB<{6ps$flxer#nM#4Lko<%YO-zdx|1>GrF`hB1Y%Ocb?*zIK_qa<2R?(1Zyrv-$*U z334C@okY3=7+9c)+Q zg{yO=4)+u8pQ6r-RQGzYNt&&JIVcdGXI0z4^r?ZxvWW}po*Y-HzXDPMC4K^ogO?~M z8oTm4k8m0hehJ=KHgN((rDQzYrJPlvru_dh9lW=(rB`Fh>u>3w>uF*@-g=MbRpdw&)aJg2ka{b@w zXP3TogSc-UEJ!?HXqRdX0D%8}ocX(S{<;eZ$VU?#e0cu}fG9%~>bQUv2>&#--o6|M zoyX0#Of6~E>+`fhWdUPtOz%HsCOLS@$H3sq5&HhJgIblWE;PHj2+ z^RH_xFwgcfuvXWC|JF)c1Y*tkgaWJ;-wt0|m=k5=7ub}*dv_a5ZoXsjmBma!zTik! zy-_@?FTQSOV=gjw==#d%8a;C;L!*$%o?{&kvQsJ``7EmG=8jmQwQY^vizLYq_Eo#Qm>m9OB)AhglP>0_Ic#5y|nJr9X(i{2zokZK49fu+U${CD)LU$TzbC-JucW}tE=2UKNl?Aq{R!aB2Ra4CH70Wx5ej|w3zjE(e&q`4RC+%wuV4-#TJX zDd!|sBg)W-l=XL<8wy9xdN<7q*3A?5@i=mo5aoOco#{fyT$Y7ns@aC zaX~jK2yYV1SW~8oi=RTxh;S#{bnciZC4~tdmosFeN2LwHjk`aYMtMCl4HaJlU?F(M zYvXE)-y3)3dv!}DwX9Yw(>mFiLuW6!ie}_v+nLF!=5U-e+nruc*E!@D#bYC9uY?k34^7R zQQU;0uQ9<%4g1HrFMOD;sHKX6+BT_4y-Vm$0GY;al4V&s;r)Prp$~j|OI0c}< z_YT7)O19X@fQdISq>RfIfsdNZS&`OF$W5(5c)0rGzOx>O^Oo9$23mz-*1aU1FfUnJB2mW@iKcoNMsCsKEYOvv9B4GaoRIaGj5H@qLbPGDO zO&BpYo@~es+^HvY-uhueP;qsT`6OVS($izuJ0Z5S8MEx@m_PfujufZl8iU6SnxgAW zgQa5t0x3W1`1Eh4-0>}UeDiS8yG2br%j_qRUce8PiOGaLWBcF#9aiESrn{?L`6WOK z<-dG>U(w#izW)03;6;mjJ7V#%yXES)x2YjL4K_jjJ8u*f~yBzVe&*m2vjZyMVD5{ z->2ej(jM@^^cT&m4x}RChQHg{jn3TD4HY>r%=QSUW?wE1`^yDerw?qP5M+|~IMWfV zYXEGdAg<>?R26|9a4mni*`eGGD;M~GHyZ6}&(mY-!0Oljwf}2=6FK~p;%gs1{=$5t z3bD(%R#rw(h9UkK?S_ zxwPvQa4YLtU13D(eqnTYd~S=exIe=nP2Wd^gtu$$WeO#ih|vxC(#!!yTyg^~H>4sR z4m;&S0jsPD{CpKN_up>lhoge!O7T4*J37|*qMDPkt`k2!N?G#F`q z%pM5Cb7}7>>nm+s0zL0-Q7gP1Zaf3a6AN!|9m8TmG)&;Imj4Q1&oL6+09d$_w>X8e*;*)gPA=Nr>nz1ya^WmkS&CM z`9tu249tlqc6T}r;Gq~Zh}f#6M<1xlWgUwed{ySc95&_rU~?+vWjbh@Xx<^KLh(Pl z12nZtZCbLjc~Ii(J8fJN*lSV9ZHr^a;G@SXNJi}a>JfQS&3}kxlkl*;MdXWZZ9_N4PLf_}7dKYwNq(<%D+rgqzpWC!A4a01&W!Q_Yp8~ z;QA3=1Rb3~7+NGl_Dk^&S)7x3PapFm5cc4#fEihhlN^(z$ilIw{1EjuXA#i~KnQ6t z!lN{+phmU+^vc#}oo$MEr@V1HQ*WyMq@ZQo_)RWhgk6S5exadl4n8A_U7=1ta;zB` z#21CiaxAt;>yFUbh&QymGSNAvlk~T;8+}`&Yk1TxAI+rknaQAFOa6(0w9x%-_X6+t zGW7m&jWuFVdIYb0t0^9ZNA9Bm+-IT_7PK*geDMWP=7M8Xsq8mnwZkGLo*+ZW0 zlUiv~@h6yb{Fas-vHL^+PHOnKhV+v<7)jw&h(TTjd@W7>O|M+xRQd*q>xdI*N#TBc z)#{8soM{APyT7AO2Von>D*H{oLq}68?oh<+jI0E;q$)h70G^A_iX zmkzk5wHfNf`EM<6;CFD&WmL03y5*eS7+y#hCQ#BBubF?70@> zbu5Ty?mh?DL&QD9{f~Vi3(cjdQRXYD*<2BGtqsLRzC1Uy90z$Spo+*BeoUR@^qr85 z!?nqtfZe^+{_jKlusOaNz^l{$8C0|ql7)$(lK&O{@(3Em@=PXzD|zu+tS{zzrww6vRgmP_Mw{b`T^?&rZgPB)gEdVmF_ld@__ z)JHW>q_Q;gz3t*V#h42>@rzrCbFjbl2qA@T8Wbl zYkSZupo+bzI({B0x=AK3wUyhkn3sO9>b{15@87r`(!zEi!cdeZ{ZT2N{U{-|RARaI ztz=}1K8viUzDSCqha81dwjbLMtH{JBbIXG_GDQ9Ew+H_w)6}WHZTD$L0`cEzIrwT8K@r6%PdfyU+ zzsJT0nJg#PV>s?E)xB_{njR%So_@;L^@)4vwGJbMq68@M*=yiycduq2te7S2u}WZx znaL_nfk{MH2k1=tv;zmonNH%Z0^0e_MPx}F?_T!?|j}_ zK_ciolM=XNSe=5%(R0d&>gPp0#D{g z;#tu7;09n&32(};2ocPh87lB-vftmj70U+-71k$J&(Dff=9u|kod76G#s~F-5`D%Q z|I*wSfJj!9kv6e*1k;$D27v7G$#3Gmd)nQ>cXhog@XYCERW)n+-ZTd$vy&b1@X1qU ztkG$|x((fUCAg1{)jn0}#skKt1HV=k<0-o4gnru03u;6<;3fsoLO_!Zi$XTu3YZb-)R>Fm9@>oGV}LbuB^|IdmFT@Sm0k#N;#xezcsxdy1njpKOW@s ziu#LrmXXgic#mb^vl>a>ELQ25FD|WStjkeLD3ez61Goaf3*&{s;ILYL8|ICW84XND zZK}I*Vl-nMgPZlUyV;QPap!g8<7UHR%}aHBIjF%YTwxchU1Sl*29+yO3~*Co?G`Fn zN@pXbo%kP(cn^VD%BZKL-*+cs-$lY9TWS*eMXNt4sVjFHpmIM^cuHyV3bBPD{CWgf z5#vD>u8ai{yGYeL1E0D&9%YDSiFs3P68gvAIDiiF^8QMH3+2?*+Htl<4+RhIc{tr4 z1ZGc*=JAS7xofNb74)HtFbjII1z=;9y*EkXGsZ& zXSkx#^4S5nJxneFU^n?K*t})jffpOflKoPOIbJ2bdv;;=o1Ra)v9xz&>g9;riMx1WF`p9PD-jNu7o&I#(`>)|% zZMC)Li>n>;KRTuLe1iFpTq3g;0Qp9Gb6rGwNtnro{ReZ^~g)p*yKf-hb`# zxK>}>D-hAg`ZvY?weM&gh*l?oI>g|Cy4_3L14_kS zRZcY7>#Y9Rp`&2qe%*)$m3_e2d-?J0ttB-U&|iqXo^`Iv^)uh_iXf+5th^0tll+-) z=Z`>(RFh9jNjHlh00dVS8k*U9Upl^{qsQ}hwY}{H`-Ik^sfAlO(uv%)@tn5I%xvSB>U-7erhHgRhHygj#`*&k4ERQ&@V!5Vo6aD$B zTHi&gY-3c>*vA#Gp^d1_9Oj4NAZx$+Hv_Dtw2akOa&37PV2W?l+Kuk<4&>c)zDNd< zqnahb1#tpyP+0J24H`!k_5=Dp)@#^+xd26v&s+VEJGf~Whzu|wkMMuPfTgfN-F;9T z4hSCRSZ12YLjb_aA>KbDeubF>0^;BY+JCrQBJqE#Y_*CF#-jH00W3CJC&tll1QdS+ z6cNv4v11P(_g`nKtAIEj=|d{@zp~tUYGxD;iKmn*Q+^Eh2#k=d&Gwb1!ycJ1a)sd9 z#(@2zUM?Y^Q~@CCuiG87nXez@Wd2ix0y|3n-eq3e)rEgJldVjuyZ=1w=VyW)ES<*! zu-ztW`2W%81P%f^PUVbzgpTtnU;76|HREaj0Re$0Sv8upR011&MA2=}i(_%15ARLD zLev`6DCu>sRZ*U+^g+JJw!1U+1oX7UY`W+wBH7aA85eLf$V+#7I2BNGxSoCgaKxYmH1|+besZ8 zpH0y`Pqm1W>_@?dN-^_p>Os4u!wFmzw#PjlZS$Q(f5YIn&gE+*U^sc-uo?vsv)Imq zHZi!gr61kqzC`vy6Rh*SAvufu=lWMtuP;a$ft1ZdDgo<2Ln!~)GaIGCv=7yjVv^OcSG)N2v+?~que@LH5_rSOKTLbmdY^y2dcJWF zt@Lc}p7uHEeeh{#C7c&VC&z12U6WsG32V5v9Rr$!^L-+f;q|q1&DW$H%W4ZpEVEy; z_Np9%@tD@)C)wggvS+q-L+;yVJyDSc_aeuxcSa8#ez!Q5oN?|k!5Hx;o80@Y5kITH zL5QC!_J#^*7S*(5z|@GW<$o6K0w@zqoQK`7^S_vou*yCzu%i?&X)I_)CDaWN#oS-# zhk%rchD;?oTLRsAQHj3{ggFfQDUWtnimCe7ZN%(Nfc4=RU(=*74K;xvaWN;jEX%q$G!p?)FKn@^VTg|0%z^&aoVtPmKYQzZT7e? z_AegcIWFC5>fer>akE=^WRWlx5+;;NkumX!@CqUEdZ8uP+`rE9KPwveVZu&<+x+So zNo&QMxW#-lg-}%kKsV}mm`7@A0qxZu6}~RrSDJSWo@Fq|(@H`)^;=(~ke!8r#!<)N zu1Wi0&s~YZ6*lS}+&{$E93#7w4~z-7u<_zfP*Y4Y<6N1!M*-BlX6nGFTzyo!|90gW z%dHXow;XK#Cv&eYxpZ?hTXF{&$KRLSYX(bI571ct+CfZWXB@IavsGGno#<_OmUk0a z6p${)^0k1gm{a%jnb~XHPTymCTJO51Yg?zJSQoPONU*ukydAi zjlqs4tEnV=G7>Y=+Sw|e0)MXl{^TE{Sn9|44_CJFgD8~$z>zFOD|c!-73~7;xazb6 zK=^3k>%>j@-V}pjV2bp>9OwDWnNB!RWZln6lT((*He7+t!Kv&2`MBrYm$hBN7t_VX z+8>Nj$FWW7h``V%M1dan5q8hZAI47QNh=2taE5E2>P>FRYlL6{sO}g;Fd89M?6~ru zqH6^}RpGvh@@*9xGH2`kHDfim4jx}W1J{0mU})em-)1#q^{!&$NjPY3IVB(NF>Puk zl?~Ckd?N~QU#Bj`tr3Q>&APdKncMXEk(DE3mjYiM!wDY`U>kvso~EVr#1)~Ox+rH2 z8Zg#$>KmYQ(XG6jn92ee+h#Fe7wQ26u5ggipB(My7u(Cjue=8FbnbV7gX7F|_u@II z(?^g~#mxMy&o?0iYYbel_VOgv?p9V=a+v?lJzVdpS4I4HjPZ+Zz7~l>H0BDC7V5Z5 zFMQn(RT5O(;`9JQL>4j6<^1MzpnbdC*23ksb00UaSL!~7<(ERkDPez^_g?0R?7i(e z*OfwEaCmCaeR5Rdz2CP;96fDF#38@HdqQhS%N`+L~{79bKF9eHiH07)Wa2t*O!eKY4K%!%zD!o>B+ z2O*;(V(n5}_6Vuw{3EYBZzJuw<8nm#aa1(F*3gziM9}WIECw8|IEZyzv=0BfY(AEA z83^o2{9$iH$G8{nmyJGck9vEC#>p^&!|xTF=eN@?t4DKYCgLVn*cCcDB}kOOCkIo~ zNo-)!q8F?50p}Q>{#g@psZ`kOl>a1oGci@|(J!_G8~x3u@+I-Dw(kExihq^%A|3W7!lcGPoRIW4Qp)#gX<~dUxPg{&c>4 zS$q=`wfQFQMAd+(=dV%JEoiH~k!-ATEeF+Y)S>O3?2iL#L<$9D8<6|{PWISmSM&=L z8YnJ$EoYrTn3d2F@nxH^;J(vRCnKDTCkSXjjEAdb7b_O(K?nG51-c=BJh%y3>Dq5>Os}VZKNnSOz~dNq zR!Vkp>4eF zfW0`}7Ql?9AzY_)E^`jMn{T<8N(EZ14&o2q&0JYq2a}@BN zde1P#+4rc{TXbit>*%s;xiY)1UOQR(vO$Da^FmA2K`T3Z!jQvUB^TePLp1M@_4mz2KM4l2p2LM^neCeR86Hna`=CsVW~t z4!tEJD%_APc`R=?>NS79Ypn^DjVY2>0mzNsQT^^?Uw&1-VE;r8`v-p3H`#@2w!TYq zz~dEmyCIb+e{1Ma^|@U(Vb%mYw_p72^+D=(PTJdhxZ$NludiLE{>E&2mI{ ziKjCzE-l#JdFu@5>$zSu2eoR~8Z2J@IkOK~ z<#cylh%XFBI|V$~PrAX~s6GHf%Ms~U$&N)_iGuq?H6sac62PDUDp6RW?ynphdjMiv z6IRiE>SWrS{SG|Ogl4zXcwdY@qTmR~SG)l~&c{3Am(5Kd#>u!+7rWcu+X-M;DPnhT z=b3!JevG~fq(&NRb%?F2ZVc*_9#~O;YUywF8nCCUBAwRVd>!<6STBd~iMVg~kQ%?B z{lAiO)V_j_91e2t-I4|og^il@?_QfkM zwuHunbZv2F)pJ^1@g_b*pTpG4WEZLC$zLcYPDRc;(3n^)z8>z|)qErOMh}#)fVWr( zlKtz^r|gmzFe0wNQ@TXKAI?Mdf_*Rdv;*^bvEYXDJU+Uad+*^UZvz^(#k4#(w_g=(AFFHTg>PRVv&tq70Aj;*D@A!rjHsFCd8Yqd^L{Qn5G(F^ap@>iIL7W0z9DII!%Cg8H@+$J+w#;mBlglun{E(;Ya28mf666{5>aF${25gm&>{IZ+^XvmDS#j1wN6O*Ul!1bj0VM zg_>~#7Qrr)+KFP4Oo%31*=zk-^fRB`)gwuHP@3yDI-a)l@i)8lRK?lkGT4USb2{Ha zjpSU1UR&o#Uldvo1`&C9c-rIDFsb9o1EomGn47l@t$QT>doFw6h|p5+nL}fZ>qo+k zS@8tujpN-B5T*S4q=kj)EN&)FgEJJ4S6^SttKS7*RUf7i|$WJPg-33$D1rF%KrU9(7vx2>|^H4}DC!)YjI zsZCLb4TekmwC|#+1`Cq)X}!wI(IxK}zQo?!JtO%DlEphQ@Dyww4xI0hRbL_2HpLaD zL+(L-`r_$BoftkB$cqmC_SWR`@Nr?X3F@J|#*M$P=Qo3gImI^eowIQ_rnMN6u&BIn z1zCIUoPkCBKh2=8z90N;swmIyi*TX+HLW%U*@w%&b7$y$#n8NkP2xHaf$Z%z4!VGt zacl=&>OcmEDZeKuKKSS9lM2>|?I|)#gM*7G7*VI8HZ=xH)Uw^)AvWIh%Zkpy0l2qT zcf+6Y<~v!@BZ4KIO`7EwaFnxb<7did5=7UE7LQ7^d%W1gC>uTVk0;A!!m?Ale;#B0 z`Sv<^b^-b%v@5{JxirIa<48}VL_Xt&&76U*Qk!&6tbsJBaVvVaYMYIirKU=4@aey4feSL5%;DC#HU# zhi|0$aX61*3)Ev!%dS7^0*ztdr6fXw<)`&f`N!)c6;*pn-j!mU{hrdrAnzL7fWW&~ z_hD@JjUV*L^kh9XU8|PmXKK4t+~?`Lkd@7wM88?_ z4ZIGXMh#^HPdP1J#&WZuUQzJu@A;t48E%FZ=fjkbnomkGt0Rz}O$YwH0&I!AmqOZ7 zJYp_F5@>%!+SXBJ$Wd0MSim8MH z8pFn@!|9(=WgmxxzYM(A7*a$bpvb;P5YF!)_8g98W>u4za;~O_J0H&;A*#F4xVA-R zqqJBY3x;Q3raK?s_Ez`bs8grdL$!sOd?)z|73ojJ67UC2h~A4Y`!tL9J6}uIIS7yL z4fB&5=F(GQQHk|96SVN=N1D3x3do$=q9%ysbNJ4!^pS-+b`?h(tM}mB?Ya#J6ZD&w zZ6k<7+3%X|s0DN?bB1_RAx51`31^GpTTP1bB*s5{EbVQyeJUAVn`k3vU}P|@2I>ll z%#$A5WqRAHc`2}a|HAOnb5XRE>Qr$uy%6_1?r-+En?v4K&LS~2Hq|2@S&M($mpICU zhU7!)B?pS7#ug>4XOpB&(~^B~3n>le4KzcTNCr}gi|}Ku?NO@B2U1FQ1@n`t$7G65 zGLWqKxSssXF~X~sLktWPTpC1corfOp;q(+bOH$$LsL0NDBxlu1O`-P zffp%*eQgkH)d0+Rw7A67fFWa!*mN8Q;~%7srUzSsJcsz%zOWViGR-v|E* zS-WL?U2eBrYn3|Y_ZjJ{^p}yPqvdV!WjPCO7f;sGb~GMcU4`rwb`Fw8&v=zGTqwj#hGBf_OG;ISB4)WEsIJ8>krSmhxp2P$a^Fq#STO=AE}L|2 zrOu@FGLPme)ebfubSu@t1%T+NmDeklce%ZIKUSxZCAYyd;yScMq(?_%>~9ncV$QSI zVTeXIB_et#{M87&qe=)x?>O>QP6e{Kkuo@{v!aseFYfn`il% z2PS=;k)v1-OB^50q1eQfRrXKD_Y-lK4|7(fzIj=?yE?}Da6*_t4Id{t?+ig*w6FOT zH5+Z`vEM-j{gdsJq20$#IQLiPHr;#$c?&DneiF;0^(VrR@LGy3X#a&klRmnNU)JL( zuOxf^1%IpPQ}hV@r%dbnG1OODTBpDepE#L=aZ0jyZ#ZWb=dF5TMe!r!4)GI396n~g z#sHt+2*09$ZO*0N_|kU+Xn|r-bgRtA{Qd}a%ebl;m&g^B?+Hi$yVw}6ZEe|^_vV&j z;n~41C$mQ*mH###cAaLBAsFWSl@gRqlQm7(g8KZdk8rjrK^Q5TN+vfy^}fwnwr*Ek zZr}16MBDvw_rKZSvZ&NmDBUwK8CvuiuT1@Nl9g`kwQ}@L5(GM6V7haTmRoKl9~)Av z6)p`~1f-&}%Wlw6AP{q0k%7hXPg%tzGC#z~+J7=4WL+p$BD1Y!DI`!^%W2OdUS_#( z!P6RD(C0^vKVNQ*~1MDqS zlP+W!s>iMqB*6tN3a(A*WWC$l9t^`|8*GQ6?>*~G_3%}s1N({(6^ab znm7_o1ypNzES$}m4{E@C+BeE!&st>}hcqC0O~}3m>=Mqj7Aad3`klp%jjh-I*K(BBL-*g-(j^@hR=eJt017zD(_`lKDoSh;b;^%ew)-@=jv2Ix0l zIfxQfUWY$zEczM!f5nSp^|5+&nlHRlXvv8 zrzHvbW2PqDRkB2(AAx`KId}#_F+EI5y(`tt37QNQ3F&>K44*F35c~n(@_YR=huLCDntX ztBp7N?>|(~U0|yxjn5xF4SWb)!HSd5;^tF4uI`avn1&y)NtedVr}9(faq)aHIjH$Z zwC<@2>f+)Sb1%9~vDNi`%4)wDINIA0Z;Z*}xO`4A&6x2ajpzq=d+tW zN}afgjRwVi)!SYyJe3TNt=Ou2b!Mxfy_w=gL3RG~Vm2V*PJr1jy|<&@^+Mo#S0o@u zsv^5KT%@yh6Q-I}QdMR(;NbJwoaT8;T&nGN6XD!?JX?2-t>e($eNaZ<5fAoh@RIha zgQb6D38{(R?VN0aQ)_$7ihb@P!Ff8|7Tf@FN$I$DF~LH_Yf{vV7v*DNxwL&XM~)DG zkOqjEr2YfK1||{4rsyy?^g)lNzf&dsM@CR8&$buj!l9ZHP2#~o>*EoJsKlam*b#0S zWXo)JLE@ytz`+0J(#_U}OAQOTx9e(}N6)z*`O#cqBQgYA*qAswR(ouRI&}=iVjIN# zjH!w6Nuxypf!M*n=`)FVE=?1XC`b$)P4%I;h#=*a0xv-=Q~f4s{pjZTqSNi764sz1 zJ6e;l_XDF<+ndi`&heK9be>7vXus+}Nq7-ItnkbA5x&ZYNg?w1gsR}GL%}d`uuIOc zc3Y0M#?w-2REW?3BnoONz^b4}*&OfOErNkH(B~3wd>8(>76xx@zC5(Hm>VVfy>i5yg5@ zlf%he_<2U6N2*Yyy84&<>*}ts)N>MUpW3*pUP0lJo~q zb*;Mg5+a|DbSr*kl{i7t4&{J*x|~i4O*-puA=Q-By43s`NQ&ZByZGDhVPU+fV69X; z`3YbtN~}jCmseIYypbh|b*@>S9_3}P`@yCAB|e87It99i{E1P!sYYZGMwa0iIt6c@^s1ApLth@PM=S~TGvc`mb%)Opq6Pd}j=?G!68sI-@6HwM zYq4mqbjtSisB(Pi8|CEygl|_3WM2yN5uYF}7L^Q6CRvR5MzqbsZ=Ms6s`AH232Art z0nHN>nCf8Q&CV0Z-BG&Ha)JC*N$qO3V!0*jkBti9_{xuIC}mE=-^KSQHkRiIjf6*! z6-X$ZF;D)=DzS2v^Bj@~o!j{IQC zT%%kvkjwW!f5kHAKHtj7Uxs2DND7xGC7SpZIhW6^r*$56dMKxE%yNKEwTY+QIEHOl z@NzD}e%n@lCmDWmUP7AcgmKqgS=rw)ZBYT+p`B%HRlQkkRhuzfe>w%&?8}!2xRN3r z3NOL3-+86Vq(v>1xvPZKMg^h>{-FlFu%upxW@iqrnlffJ?OQ_m>#&pprr= zn&YFq&XAL7Z;~eN$&`36J7~)cwT!(6jG=m}gR*SpUuwTHVxIL+BRIm9=5A<8U8HUZ zl3s-q>4IX64fI``2vN?0{ytP&ZH=k|1z}dRK%I;?i5F)wEy9rrC=~(ah2?};>H`ZF zVigWZ76AsPN0c6h4IdF`A0XP~!vb}PDgo&?3{W<`GO+2P^-SsE; zaDrvLT!41^Yor0@K=rNUzPac#h_V9?rXkejFZ}*A zrOu%zXmOn`+*{BE^zvgsv?wD7C_Y8Y+9BLN20lMFipzLOo;LYH6`$bw8g-$8Rw}HpqUZCC z609@Ic+u<^pA3GWy2D_Ce8;Y(gvK78^)6;FEp{G@hA*)92(8>d5&@Y5?@EFa1C!tE z`gumlQmf^t6w95~G0ZPPebQuK5o}9Ikvsd1+GWZ!b(S*352ZRLc^Du{(f4EAt}VB> zJMam9Is1MsLl|#gT-*Z85#RI|w`3uDO_O!9Umh!h!;8&%xHu-~=}ZJ+MTE(HLl1ko zWqzyRmJinRi6&j>riAP(9wk zi3nAt**^OnrIBaW01PiI909ja%ecs3^RD%e=8H%s4+(Q~5`LdI(1Y!BFilfnOz;f7kO8PFi1!_qB`J5hrbgM+M zP0h<`C8`*F2m{T`{S%rP%E0*Z;yP(Htu2CtEylu+p&oQ8o$j^9Lgf-F>9wYXqqbWT z`;vVugev~Ue?`hACy80h%Mmp`NXx9uH0n)$Rg2R5zs!GK_MJ{QW?r-iydb;sd%beG zou*-0@^(LY8d__AP3}xy0)d{L*&|hg;oCt5`}cU=dAN$RjyK-j)=0$l8UAW|U&*H4c$u2*rm*lPewR3kso~o0SW+)0t#Y9R zgy$xAj`6&CzVF9^&sS$pN+O;96m&d#Y1dPv?08!JicCN9+O*O5a=^o6Hp@)&iO^Kx zKHgh4s;GE;?d;KX4~r1rdJb2*B#9F1t$3xtaOr2dTP}N zZ<^sgd+M8th}%X461S(>3L1f~Ez%Eu1xq=IZvSB1;sB)%W5DT|&%Qz1>klM2Ldv83 zo>>aa;@xdPl)`nvi5;B!$USjLI_js5HTDg6BysjoJ&g@tXqjta4G0bRwHWX?f4p%L z^mkUqe#|DyM01I4$`*3YuiU@!cGDuCWJ<$8AuPo^;h21t>QZNkU)e($6xbXkg$0dK z`1f}#^E3$v=+DBEJt{d$ah~h(8-7aD6fR$0sCO_~;x_>`v{UHO!9~AH-koP;k~yj> zCiGJ>FK}#OtZj6Ce(U`J&|2;o9g3(oFkOITWd_q$RFvE0_?EMx{gPWZh5xVZOePx1 z(+}=4`K*}X=?lXxn3a3DCC!VzIL^05EHBnZV4_uNWkR?<>va%{dM{jv$5yY zTd#=BFZ|V|!_84mHnp5>>U)Q}N#13`JRyw#!9b=3w}a^oGw76kvVarMU_G)}DiTZW zw!_}#Aui$Siv`~hDxh=ufpMMt7Pkoq%{7^Pxz;%+0?MPQXXXMx7V`<2Oz$-z9P}FGF_K}yS{56s!hnBLyjeLK6d)+hmwI@Yr`|Gr`<=d~{rI(hPg@L8i=G0;XpVgNRPvJi!=hoe}g&xl{kvPAR-2x zV2XXWPyJe?gG~71-z642M!hp3^Zz>kaLu@P7)$$|R=xsTNm`RkZSp{_g!e!aA%)Na zO_~L?QF-TdU9yM*`5P~0Gry2LedHgKCvNuC^QHr0DIW0q1`S6@XBVsir{%~6+Yx%9 zpQXJg)5@C6eV;218kcBWiU`6WP3H@?#A^9~%KDSFj@}D8$iR<|CU7zS!RL?pg4aPf*}qL}-qNgf zV&qAbjwDMBkKoD|F`&up%gS$Tn!1#14hZb-7{|G3emqcydz=PH zdf$Wd5teMTqlzP8>zWPY_&FLpr#A(L>*f!qfOOyLjyHzw&KjGEYlGt`N26{eFu0hDO8VOP`f#)-xxkARcJwD*i zBtjL@7)3MCSQ+gJgTnI>gllez0pdz|tD8$h43NW`xuocngcYNQ@o*eShV$?KYn%y- z_16aC3WLKl7CSeqYLiF!qV>?Wi!dnFoHNjM-14O|Fl-nGex$4gfS^tui6ljLo5c zEl*XK+y7=8)h(Y3FMr}>>Bl!R(MwK?W=U|&%K%~uVcSNIXinuN!ZdK z@qug@g(2ms*z!MYo+;h;>^s`HUIxu{FT5K=<8UPjKjCmye0KWQJC@ zp>Umf-80xkh6$qzE1Bl?Fn9tvfbec9FsX0IJ+zXYq{~q)5VtTfdSVEGM{@>pu z8N&pJ=6u|m?T+a>xxs@DVOPI)9$%SJKq#c~h%|ZXK}MQf;EG1qF(v{b9nMbuJDH6K zI{mv1W8`&&!;mKOOk|Q_5GRy7hrgn85Vbtwe@JvcrX7rGMZwprU~`*nH*8*{Zm~ z0EM357$Cw3ID(pQk>g^bK3kD`ojC@QcP2DkadUC~yFu}|4z{ifl^aQsi z-XdT)xBdhsQ6>gxEuc-0v<~0rvmBq79iz`c@ARoiK~s&{j&esfid=;x`J$E~xCp7Q z)@MycVd(#;`l^7o8YRr&?(Po7-JL>lcMnc+cM0xLN^vcg;_j|Ri$ifM?(VSp@4oE5 z=J90CnVHX~d%khige#^|+&St~Xb{p-Q6*FQo8;O2>ZpKPDFNX`nl9^u0lCR_)XNe1 zJaWM-y8|5Pr|dApRlV__TJtw(ioeceTVr2m?;{Lv=_77EX;sf-yxtp9Smek@^FOTNBL53(fnJ&-@?hgA%z%S6#n`$9vOVWD+@A`2UDUFN ze$iFYAow-G_Io!09G~a+``n)ZLR@?iIxe&Qo+Nry6?rnfV$z&WWDRW3CtA~nI(6+G zu=YZKRm+O;aaBd53FevdiZIk<;Q+FKViiF`XlHYOkjNC$`k}j|5ZMrb09YK;0^HKw z8ap$t0P@!9TmGu?-|2Qj0Nbv+pguXNFhcqs+=8-LRQ3?4>T4}Et-n3IdMx484IW)% zxEktEON8}JI$f^q%lPV=f&vU&H9FX&73)L!@HT~hr4@mHTD;!;U%7vu1lm!|TTs#H zwST?Vl6DJP#H;Uh@ygN{+i%6?W44)Y`dROQ3LTsW&s%XmE4b7+QmJr zJ`lo0p5q%JMe0>XL1hFTctqn+Nq@~;gpQ+!PkZ@Z}ZILe$d z0=P_fw=a9xqV)bm70?$V07QzI-SN#dB-&Hb5bG;8r8uaL|3F2{UuF8LixH}BQu;C^ zRxKQ_5ER`a*1E7d-19Sdw=+ZOI&k>7+n22I0BL9pE_1A$$5hOF&G$u`KP^c0VbPC& z^2V4hOuoJ8(W`}B50=vr{Tq%{zg{1%9=X61Dyx(NrPAu-007A2WbdYz76duRTiZp8 z5oZXyZ3a;$91^2W1cqV$4~-dex(NSoya|{$cFrYF6w7g5%n|;%5ameY@eIV0@OSr! z9T{>s(W`C8uVyBkif!(2Bg@2LxmRu0AHrdoGW!x1n>Psd81!j2}paK9~>pf343)Y8_Fji9A*3J zv4aTn%Wf16Fb+oF)Nra0D8AQ{t(8H%N5AG4MAPCBZBahi#rFoRR+mCp!~`74^jSwKW|a`L zFaK)&xHcw#H^KuPHdkL?yx)ibO0>>Z>g^fd`2N%S?C9Wwlxu`}jR9GCHNyVGx#=+X55wC9%`&SK9r)%~P~a5!#oTr%_QA<`a(H zt_FM<01iJ)2O9&cFV}ZhPz;9==f}~xz8IX}QC7r+?4J^@%};qv{`&goGSc<;*JeL3 zO+F87UBh)uTh}Z4=y{<1T!01<{SDVW=74bzrtH*M<3X$`9{?!%JZ{V$!Niuy@E0A< zAGvCJ*LnI09G}nYG{R6F8x+l@=m5{a6d&O|ZMSJwT^{w`4p6;1Q4D4OEEiGmtDk5v zQ2>$f4QcL|lM>5%2>YWz7yvo2Y!#dZRn_G|u|;*RNCBX)pZ>+WgXtjg*IpT@ig06| z(`cQm+#*eOLcSO7hxsyYQk%?RQ^7PO0LO6L4vT4w8VhG>Be1}EhFpX4ngJP#qD*MKY`51lrb!j)5CIWA=9pY zdT41f+uTHFjwOGQG%>7B4e%Gp!`Fr%)M4^%=P^WxbYw&96H)%Rz-8icOb!%jE#W;% zz2!{PUT#>B{B1aW;~y$8@FObc>nI#xPUD9u-(6=QG66h&_#9%@r;tlx0|3XZBATQJ zz~>OHz!aMT8=wjObD>g3*ug~#HWXW`AzTN*VP*ghTyGFRjSt#u-h9!WdfPekSlXA3 zmoPG=h9uwPn<5IT=@7u+N%i>jAPaIW4#Y>q=)4?lD0mnhZM?s(ap*CR`RahRwI|2J z`7AS%5gN!ow~7!BYaI5!rp?Bu61Rg=QKHiYvPUok=NRLWSIJm@;PSqmRAD$}+H|Bi z#HoOL4t1IhGJo8EwHqcD995+b^+&?WCIm=NHxWG|0_G6I@rSAo3Hk>@Lnz{ds_;=K zsz8_J?JDqM0g%2YYW`(rlJ5V0slMitm$nPvnavP~>lin9_-PNE4Qj0d{A^6{pT1(=`2gTdjF=HMLP z_9QgJ9~Q?Jrb62DnJ2BYyx(X@vHah(&@EF4p4jkQ!WBAqgG4uH84~dhA~YrEN1y%I z-c<45oieL@R7$d?afOxFGdA(Pwj4=E1TDwEn!iDnxHz9+x`{yhv*Q)u^7hS@mb(z7 zaToK%I)`@gGkAy8Xu~|<=b+PC$Hd|6(g>kK+8*>S0^K{l?ecw!<*lC7 zB3&!!NxA2tM(jC`ak_e>_Z}aF5JX{4%XQl?H%xbe!ltEv+X;ns=x?U_CDQF^r`%F5 z!*x$9`S_trj71@(Jt2F6C|+S4miqDy^E;|maF$~-(O?PB82AKSRg}1(q(Tj-^_ur; z9`6-9M5jsYmn(AFIdsEls*mwtHrpt?h6Z7w*-pW+W?l6W5^g=LqTr~TK_qv8)gZw_ z;fD*l&d6#6&*9qEEq!ysk=SSS@P*(tYpeE{kU zdc%y-cT(+kF&-1)YWV)_{xX!5--b2UlVv6k-yirqmA^t~Cuf}aRPRRvpU!67^M(8k z*L!LEuaZXXsHJPml9E&dCN-ymK0NNo%s*t>Di9{uJdXogTI@EG_S&AjB2!Tp`7-)b zDl;B8Y;C;6Rk+qtq21WfM$1xxeD7ZR+G`q;9hGCY@|6yzUu5P^!TT-AeS+n)Zt50L z@#x1#m|G!1Ptmr%n90$pa6pLmG?{g~9JRB+6jfU)i_5>oFki>)ZyNK$_J{{cu!3&z&D-t0#j44G1Ig(c5B&2M$TI8U(6#hSbg%u4EOD`Yy|Eh_@X zOmrYzyrX#{mVmwtLIG9F^&Tj53RxP6^KoKbYDFc@*)Xcp)FLxlfM5+M6Q@J8kST+j z#fAN8?%H!d82*+TiHyCrMS5I!X5%lz;|kxwFZ zxXxpp-e|ShrvIPJe_n+0zw+i>u;mRVE6V4ku0q+`ypH(dT zVt(bFN8=@VMNc7&)}b&7=quq6<$LdBpO9%MwES}uZ>w+_)Tsn8!nzAVFGB2g^tRaF9IV0Q_bed};cG2!mrF zWf+}oVKv@pQ2Xr0VYv>g1*0ICJ~VRbzm3s-PtbjbW73|jd^PogU`igzpl>NS(*cD~ z#y|i`ywBA}E6Dm|3~;e9nN73*+8wRZan~uPh)VlCO3K#lh$GyHN-DB}3&Teh1`oXF zkdx)raH|-uKG;1=#~oJ6GEQBkoDJv=zc(B^L*%?RpalCt;L>5vZ9KpPBMvpSlQ5qx zR3v|LL}+tg6$=an>11@3hqR9M9E_7`G(BBoJ30J*v&28*b|x5a!0X7+M2Il-|D-%# z$JKQzNpkDC&q6Yvu?nl(ghby%gEAwr`+IF7`ByGr*sXtgmHM;jb$uh|Ylap9ZHM^2 z)TzI~vwT=y46@{(TUqQc?<38wyjq@@EYlNVjzGb%x1c%%f6y<6Ed5zZ?-WzoQS5B^ zYo9;pM1jP2sMranLA3-rjGFGySQf^!q5>YYF1~uUFKfNzA;+1YD}Zk48M;n1Ki0t? zLl52cA+=e=EdL525;a#3h+xOLY~ms7@=IoZ%}R~86dxr^z$Z#Fkg}g%RwANk843qt zevJ|`B)wb*Xyyu6NYalM&kH(nS3wd-P^0 zr>(yLZszG=!6)7q5)7uDMZm3+7M3_SDR2D=S2cUuJO4&~;4+1gHldB!ORlj12@hyKO!YjgvRv>Fc8&MCfY_>w~OpD8vha(qayoV!Aj zs<^YwT<@DlfB`D@j6l02Rwx;^Ag^3R?FKWrIH2^#LuH}N(Bmo&rnO0?u&ANE6 z&Qi*^qY+!4Oee*bKW{H}y?#9XaQ>^HWUtqL?tfL@!)4oJmuGo1J1UCR9*X|UMS9z8 zxV+GGTf?A+2>|3Ed`C4(I)<*BR}iZAVA`u~SFekevi?`*DHK}+nLfIyU9>1ha5J(JDy2~+Z(buI^S+YG8#e)YRi zRrn_dn!o+cHIBhl!V~z;qXmyo642Qv*S?d#~SG$U<=Gi_HMEkS&ze3YmpM0i@ z*{7B^F7outfdg=hiY^P^KXkO*BtM5GfEL!Y_Xr& zbVOp1@y~Yoa%SSrbt+*5D<_xn-8duKn9u?){TjbeUl&tUfQW*7-p+^wXlo8QsIpxk zhmNBQ7JLG6^RwvE`!|$T>e#h;;_`M>KcH|_L(S|iL(@fdUv`?rUk?(Yis5TnD?-C| zy!ugrwcoo5a;t9?rC^&#qTM?vO~ats#OiN7YScyVJfMWDb`j6d5FZBi6*74k@)p5i*_JbJ~p};^B4bR(&V2(q|6i$l|UI7PLB6Vam1FhxeGx=;RqD1 z%;Bo3FSf*rib#R|VTEJvgX2~ob@WiH_Z`q5qZcyALV{IS*oKHjlj-tPh6I~@rjY{% zxJ*&o+xT}qR+~gh+8&2|rimycHkY?&=nZO6H2ku*bpX=+uicJZA%8^%4tFkSn6eiK8AhfJw+p#0bF_ zGK!wVaj^YiYp({_OY-l(wf0%6pa(Vl$kC5UvMaq17!J2RyhBn~{avxm1RC=ohn&G% zrQMkcA@Hf9XQRt=-XsaXVg&yY2+tfq>ABL)b&y55nqc>R?+7|+Qr2{N0`W9yN_9$o z+G>WClF-t%!}59mw(jCMZWl|S$Cp4rT63d3@?*j%01)Z>JC$4kuY!10t!;?y=o>uT zqNfuV;(|oI1n;jS+X<-&Au5`YhyEB-L#Dj0wwJb|LpD{XSRs#fsfj$Ie&Xuj(S)~B z!o`NbnI&b{p4DJGS5@_rh{MravCcJU)QV5b5fPU#Fage>-*==$Vq3W;x!qmW4aD_h zzcFi`6gbpxa#*!=w(uIxB@E4+)09(Ncp~})825YeTs0`^PzG|)8F{vM-pwcfMpUXI z{>I+f!RDextRkcZa44INw^cS*etqwD&YYb|GZ3s6)T^NDk(DDuJUd^z1WiPs3Uvot zedz-!r&WYyu^lxQz!gExs2e;Mb6Se6BXzV`$yE&H zDo8grYi@=G2CD}fgoUaUf{M4JdrXX~Gz8fW+7#Cog3NrV&N;$$$N=IFuED#I$k+eB z6c+CxYYK^M5fvO`vmLwA_^oP!-MRZ5?|?#%FQ^;7xR8_e=7OK zG^+^yk*I;q!;3N2E)~JWr3(Gv^n7yYOQDsN2Q>J@M5 zn|&s*Us2FXL&|ES>vuCe^#xzF$_^&&@%Fzn8cF=`RGV*=PtOmmHu>Qv!Z#bZe?IEm zzVie=kI2)OHc|~kCzfUHh@KxOEHsywUK&zAo4E0Jn;X#cI`Mt+)3kqe6Rlh*U~GQ+ zWGTY#CCXb_VVURD&(~lM9oV->?JMEm#i`KL!-N#FnSiGfv;jI~8rwv>_`gn=!AH!$2M8+~k;UQXFcW~8kQ!Y=l zJ3?Ajt)pIsn!_*sn}mgEm#LJ&qrUsRLr zF-@T_f=Rw+E%`;CIL(Eo#`Xb%G@%H@i3d^Jyn;|CjCY6u@u!Zz%m3RLz7V%C{%>Po znKw4hB`5RbKarK;q4}x3l$T2`qmMw?1-$b;C?)WU72SE*r`)t+ju+!8b)~)82 zKj)S-W|2(?dW_J@kH_=1vk*27NF#_DNii=U8>Wsr(7Iy`4t~uf=r&x^rw{GF zdo|}K5KVPfa2_fvO)$91LP`y1x@f;5P}zLAd)R!uPC4jtWRY!Xx-iKK#&Y-`UQCCLe$-y%qAh6hs|e}Ux!cZ!mM05_4q^I8G3Y%z_<$tns{gcb zS>~wwxFL(n`<&nw~$y1UfGqDqUTVS*@iBsOc=*+a)sJ()+ zm=b_Nk!OW_-Z|$MuZY19-D~}?5gJrc{wrt2-Qye=Qy|7NBa1&_Zh!wrRbpcU-shAc zDybe!YJoXuj(-mDwO{MTv(fEm=4I#k>X*lZmeP?)om^DXzPZ!>lSZtW*Cxs^z%nq- z4r!CYGzkk41_aTXi%l!TKroW{d1HfIa^C++P`8l*0^c^x6;WfRw;j!W;qS3|V52Y9 z{UbXnf%vwAinAdkzDMmu!)UZEE(;H z2*5FWCmRDH9{VOUyprFu0367jhu^EVrr-f-?~NIa@!JZrK1_#C%%DQm{o%fes$KB< ztvr8@|A5R8o%%r#FTtJBsLQD%i`7F9XTYC^;hF^vh2OjxBe%vFq}w)5mDddcu)zk6 znAI(`6~$kdVg7t?^0hQ5`-Sy9HK>`_eVxC1=4`~u-en@X2lv!bj9C&LL8TW~>A7ok z3;CUY3gfu*!~F*(v8upUl)Kr-s`KfGgY)}Q#^066a=Rmf*d)KYhF!jegoTpGQjnBA zs$t6~2Ew+%Rp^9uxgh{hquzY~J9|Lv$e+c;vFob(u`3bg&rY?Zj)tyo{R=<&b8HOf z0=Q~4_KsbUigN|gCR3!dr-AS+n zNc3p=n^^kv#ys`c%A4WL)Ap)G(SI`F;5HA(q=wjmMQSOc4b8Xa7!a}=$03bML zzamwnqpDCzv-l4l-A^0F>h)#jmMj~8Q50j%)ezvijk@t5$eYN{i6Bo*O)az1f&~yD z*uYM}^j0dkAYEQX0}P%sM$C-yK(2vbRd;k8PoVy#a~BMp^rJ(Y=25_`r=gl1v??f)WootrPSrnhoLKNg>9s1&53eAwJ+VRhCYvDGz_=kSd zb!R6yXLn_fVCCNP6_`@DfXWgZcCuv)qTWHvx1Ws60p?@MH;kHIA`)CV`6hW3HL~Lq z^O@fWjyV1G~*P4kI*Iv*`%GPdnM#USGiv z$wjw$Nl({FekT_jC;T#9K|LG3@YOX3JRC|tBfWDU#htq@I^sybVCVH9$1ka{YENM$ zJ80;&e8SoPh-|X7BqZ6*obqJ#q;SSZS$Soti}hOXTUWU#y+r@LzKrqV=6Pc8rwqNh z0PxXlt*-8qqGFOQsekCL`xrZ#xc?YXxFCor@VK7U$Jr=9-(Yek)aqMZ?~`pK_|9~` zfCJ7NFCB5suK22Lg?GzL4bDm}P0qgQI>=}IQ{iaZI-k z3Lw!F;&J;+J%vQ?|l|47g9R{XQ-!`_!Z%UAv*_r^(XOA)k1C@X^ z4imQ9xDIrzfCmp2QoLi3HY5`9^H~LiRBit=APDB!4jh_-{K?PH`Cw?50`@SI0Eggb ziJ3Hb-yK$%fk1d{l>pO{od!Bl0GF6hL_A5=)@I{ z0$D@k27BI(ZvLk-JuL62781=mc3MnovNe;MZ*m7mF#$3zZ&bPt&$2IQ`v-4FEa$Cj z`=RJx|EAi!jEOIcC+jkL;2gCc+%YVBa4$D%9uKL$7pA%(Z6d`9I8wONRmaaAa~nJ% z0x-hpj5bSL2CCfoP&+fLp%JV5?I&8V5zjuwilfdX)U@v;6xkif2W-ei4xc zd+UWd{!Y(_67~rvT_?2e?vwf8*1PwMs#wmUMG_3$4vH!xhELx1%L79Dtxny`y)c8u zpthRa_I}IiQtmF1PY)~n&b&=0+KD0^_v03KiA|?B4Yw(Y40$ICHER@cebaqV)uf=w z1vEnX*P|^_t0pgR&*R6f-!h+(RJfjwJIXEcf1RT<)j$IDhADWtbG{f9l+V_kCeVWVd6NooO11)h&Dy#=_KivOYuB#)R} z9uNV}(ll{pI=5W*NQ(P+wDM?ub7&f2gR724|#ZGHYjn zS-ifbq=9cFb>=*(g_XT+{dFWRNB!TU7qH9aGqrQMUo~>>OVcu*Nrx61u^sJ6&}Q<& z_4>lUBxq`<{+P`pHct-4T+TsxHbz7xZ>)i(k%|TY?POGhB9cgxFBSADGVa0P=xMd^ zD66Ds78vG5d;!;+E4Ps88pqe02G)LrIsf}}dZXw>N(i$xqfPY40Vsm|4-5Ph>uO(p z@}H}3<+!>+C8@ssL1ayzY!5uM}yB3ZvIH8*=D2XKkD&1u~$(0fm( zS4Z&Mq{h}1r8D>31P_FSC7=+EuX&$GFihBx$c-53GNXn;9sJAaW|}KQMBe*`$wJ~G z(*=cM2L|Bzkw);AZS%VP@b0Xpb7VC=@^6Vo79NM!Ve$Ru3T2Z=b3oCa-GAlngK1~^lc~a zZ%2*K3XIBQBRvW4P(GhM`{G41QZwmq+clvs!`$w=RxozmoMmBFSjj$?EsHEsh|8td zcN1W$WGtY(%$Qf1E#m@l4!>MhyMc>Q5J!O2wvvkyzc&wGQ;Zx6xL02J7Dx%%Q{16i zifLG_pnAhkoifM1V@KL~A+By!|ME14DSN1A1mMewb9^dE1h{N=I9wz2Ph}gmXW7y2 z<&;2))C#*AGlDlBf=Ba%epA?PGdxW+au<#EQAMS^wdbIO3^*&;yUe?DH%Bm2SyWMr z8)+tRPw~e=IOhn??a9>!9J$svO?|+AYts{3T~(xJDjo{IG)L@LA-`CG(2%;On2B-R zO1gT?YL-gHFihD~Ik}*E{b!kGd(2FE1~ka(DAN*o<*-jT*;JUt7Ce^mwQ_P0groNvAfn*+) zGy<7PRq4O#x}Sw+XDNKb5*{P#71H{(C-`NbWjK{%^%F5z8ZlZx0UC7&Hm@D*&lMDY zbXqi84h2K4zCpW=0wgs!L&_a96p}wlvNumrHwaHf2ehnRz&*jGE}m?=tY_o|>1!SZ z6=iWaC(+)yuQgMhx5mo3+2E|vvw5}_MoRT?(7<{HJGi{vpdv0w?YdJz%uWsU!sw-W zZwF_M{_vvvP|W7?$FtPg@e6;@_neUmNK%7o&+#8AoAb8JegX&cOvk~=SG1jfz_%Om zosf^=A^nz%^&PIEx7D=TeD`eb=f>CE#O;bNz7;M7l?@ZC(@#(RXvgO475i41Hhb~# zeIzyIp>|V~DeXvnTv2)ZIG^1Yaw`?)Y~d3_Biz0l%i15-5_!@ zBG~?G;z1e0g4on=4KyIk85TVU9i~2jL$(g%%}u8luTpN!T@;XU9(LPG<0^n%6}vdJ z=hCmu{O{^JGZ9g>BD_{P>OwH2;2&HlSCcjOuYO$GLrPMSw-HvzHU7c+1$7Ct%m_Ihck zrW_8&{U?@_^a)Ouk7K{7#Bwift2OaO04qeeN%IC zbE=M4oS`i2yFW(*sQ#nNBa0@&Dyx0x*tz|Ucm>L9(j)sM+T0G=7lyM_pSspc3xnipp_2U7}wn&ZtZNjv2ojp7Ci!Z&cW|bAMil_VGaA*IL0>Dz5UAs z#a-2Q?>z=jQCwx`CI2Q{w)_!=XPHF9;v3b(!XD`UqBjDu+WVsWb=`;a;Foq`jCCpD zl3^OWhWf-eCe*dl`&4J@fh&|AK8Mn_laNiEjH)cl>W2sOv!}hlhqX)Re6I`dj`E(} z`~E)R*RDCXW~aLJXRt?iCf-YGU-2*trVA@d57R+`x`t98LmZucYJaOVet*||b*N7a zJ0Qao0hT~}U;e0YSPxu+Cd=5bbN;x+s_%hMg`Aq-Tw_k1mwF1Pl%**K-5W>-y?QI` ziqh-TMmnx5#2EjeEEI}_Bxr8>@Z;pEGO9L>%F?7X#oVCfLeE;3#r(cxBLrOvuP<#S z^%Sv&X**T9Y*J0_Y+#BdV!Fhm7$>TN;%*`TwnhBqz$$f?yh+nHmKWD-5j`9^bl2Cb zta4JfW|^u2JB>;&!pzhud0(+@!ywZX!X~m)sWRi^G7a--n`1@ct`L2(KE(o~m3%&{ zOTPuU)IxD*#b561y{%E^}muEY{+}lrByLooHd|?de#LSI>(;%a_E>i?ADPE2Jy^) z{sqWpiwY)Ys7R?)YRX(ANrX1;-jeOU#pu~pq14yc76cWt)h9#HXC(`#tVY@jm4p zJ}KjAzo2a~1RA(#R*_dUTk(#M$KXO_Qra|Lw^t>vL2E#knUy<2ntPIs@N`YJE@D)_!Fr|^-OK=6Ukw(-ibRtJAUu%9FDyfvfd-Yq>^CS!eRbTy z(g)Bp!0DCB+_(i5yGZZi%z8tdWZz_hAcm`e&lj-UsXMS3+?O=4z{b`Ck9P7aXCYmL z|FP6e$83;#O@)l6DbgOWRLAW_I056gx0V-w6VfLvbRv;GzF)F!LJsH(_Y~>azN^2I z-mS2vJl<&j5L!TeYN#+}APW|F%c6Y_v%V0AJ>L0qxw?FnrYJ6~xuBrlW3gM}GJnJN ziYx0(`p{)Bj?^96LD<=p5=WnQCQEJj&dit}SOSCL-!!gs1gI>SktZzBRom!BHdg$dg-C4#LYPr>y)(S$%rU6@j4KOzzG@RJ zrQ@*QlM?ORgP+6_{f08^?BVcW>O_OJ78xf*CK>LfZM_Djcy&CI8wawR!V0Umr~aB& zPw+34b#LV6m+V`X>=7N{`ym}kN$vcz(GN!?REl;f{z^d|twSemNh2+vIvWYeZ2>6p z>9CbX=<86zX_bP>Q9)SRMx=3?)ZD?4k$njWtlmUeNpIhE!!glz-Ut%j4x6c2@Z@qq zfLM^%&?kM)=ga1biqGASZ}rMLOvRU_lOTc}Wf$UTAPGoyd9Jr+2 z`@Jc|o3peHMu?n3?9a_|LKunH+7yU`+YK^7exUkpN%0 z)3Rnwh*rM)m5pm^$w{8^``$2TQ&ldqyXsOHGOAaoO2|!rVvmCcWS`LeK z1*%sIsN3aK^5~$S=P|UA_&`vxe4y^`;&}5CD`lkuhV`%=RgPhS7wGyLV`GDvfA@gk# z1E6Rq7d&?t9o*Lu+zJWpX`DA!%q9Qq`EbHebx15V?>QZnq~cE!-h%#z^dh(Soz98q zugd42rc!`MJr`du|C_Bwr1U=yf7q?8_-hx7Gyb`cl6|&tj4(V}INz26?KUXmNpS&b{L@0XxGk0Fr#U_n>)3r_u!>YfWxcSmpgu*{`dmB!Y#@dU z+Dy6PSvCI$$=Ztxq|Cg{>oLj-k!*5D@-!bUAAw6v2t2F%``yNHtFGV8OQaR2BPT?f zCg8Xw_?#r@UyYJsoh@Z(Y_et|$xSo2fB@JDAoxj9l0^#?k?Q*{_zzRzNxof^M`jKY zc|a^82}Ot403o9UVVL2)+2g9827Pix8k5|99_am&pzlab`b=lj!L;r2>}3Ycnzi!f zkZWgl;l>=jG<0VkA$$FC*uknb+q!3MM2Gad8OE*K5g9yiD!_gvK-z=NRSL)Xh@iYB z*M|_Kz7hJ+8Tj~GzGEOP>4N{84x~H@ zG{C=g*597x*@U7oJ=br4vW~a#%Ys$z`7&Jc?W@R3i+y{T z^-_O{-sKXuu|ok22oAP6^9Ki?bW zCqk?mQH|cRVc9GQ*f0GsEZWf|Zkb+YSlq~7{wMXU{ORPq`Gdid8>uR7-&B9Gi0fT> z=j|VN?x_ykPFjVTi*9a?TbgNi&x_~p-KCDX16wfLrs4)JUq5vx=EoV3<$0SU9fRe$=Pi-V9 z^)ZG}O12${DU}+(`f%qnz`;urvvLw10MqE;@WA_|{%c@1fKC83r*b=WN{?|l6JV_K z>2VE|ule=Q4|H6UA$h2?Ql0=GJzS78u3YrYN^xGCI7`5~g>%bTEYm~$(J|E2O~9B2 z;t6#Q*{><|95PwUZxTJ>dQJJSWchdLn>W8T$|0=8Pqp3_1(~_&?>rU@T5LQ@1FmWC zl)RBHdt-7B_C!lV_?9Nn!#rA(0>hluec`%Io_P*#$gZ_QnWmrwo4rO+ zG6$y{Tz&>A3v;+RX<9bRl|GM>(U@N%PQHJui6oc!{t;8vV;(Aw2+pP&=?@-l%D)Wg z{-=ZQ0t!R&H}L>Ua^h@&zc^wH5Kl2pRv4BbHE}*>U$0Svv|4eP?@MHD1ohskGm7cw zH6cEnm$ku{!F5Ot3ju=Ub~f!Jq5hu|Hd<$W36t``fe`kl6y?u<-(}ahL;{x{H&Bii zE8o=hEe{GF2a5IJBZH8Vev^dveyYSi;ZW-)qwz@&NBm%7Od0AVqOHyzF2oJ*#H!{9 z{B5>N<4dRo-xI%%!@ z4nuYGEmh!`+Bcf8Ory!AAS=`NfnOZMrz}qnEe~_h;?u75DZ%a&>+od1=3v4usNf15 zpBC@3LLB`3kM9QMEmNE#GrFqwvdP2g$x5r%bLUG*LSHvZ3^MnBFyxCAr}H+gpPgW9 z4O>h8os$V0HtF7`q6H14^AdPBgKM6L^U!GqR2rl9_IK7A+zV*wpQ_HW3IRq2Il!`) zB-=!`tc!2*V#8;}&>VzRS@_&ih-r~@p_DvQ%)jENOQ$8Rtbj_2@~xPivbKv{2DD)n zrzR-LZW zAJ@pLcRcsxc7Z+;1B#srV(a(8?THw5T*?)J5>0=uTP;MSutYjVBxM;S4@}51s zubV+OpPJ7_V#*>s`Vsvw6F_M#7A4}23|%&zk()5#GHqG0adKSUmI7TeCyfCT3oWa6 zEBbADFjXqLwB$&c_}jF?E}`Z?Gc2=WPjrJG;8Ln_wh1bO`602(pOjkH0uudnxedi} zO!$}_7hHA*I)V(eH0s`X|G6I4Ys3$w(;+J1U9rL2%nJ5aU!UilfE(7;3s`UYx(3x# zQ>kz&k!ysxdnB7d=`_EDZ9zpZ1#1PDkQ#6si(NXJA89GTHxz8=OKr-X7GjzeEzzq1rht?`Y~Pqu!(m>c%hE?rPtAW3Mq&-@4wb z{T^`T_2^%;tmw6Cx=+)ANqytdNaMWv5|Tt7#9)K@=e=!}=jQaN;Y(%WI|-4|?-Nz= z1{NJru!^8Qf?<8km?)6=%Xq?Brlck&@lm9s**r1KY=j;Yg8ht0up%9$<$#KErY_x) zWrC+Fva@g-EVERP8ueSKsh!kZCkqszWdF^5-(AFrJR%F$_ckjI^cS%RCV*&MIjqOi zFH#ZtM3v@oWXnV5=Or+D_c5OK&bEr~O|iLapFo!t%-C!(oAE?e^o8G>3ruB%qWfc~ zilC`s0S0u3IVNg=^Ppoi_ux zub?_ykmzz+3~TTU@FW?Uf*0!9!b#E`Kz#Q>T! z=ZZbChiLXR5NE2wxCMMFwttn|tA#A{-B^(Fz4n$eYTn$bI5V%)F!VErS!5Qa*!*Kh z3)>6XyfLbhQOiJ3tK$q*9SN${vgpQ3nR-2+3LXl66ph3iKqUm^HPj<|I8ezV&(gVs zI`3Cx^tfOAJFm;1YI(P)eg0gIq)m2q)Po=hA`ffKLbLj*cWC=jayZ`5W5_ZfAeoJR!pedVKy^~{#z3p0KL&-zkekRD#+Ns=iY zV!H7`Qy$P%D*ghSCR_0*Eu8vX=+?HJbfxbE%0AB)i;(lnOv$qg%#?HMHU{&;U25=S z5AlIu-$Gn`7I^fuCyke<=57pR38-v5*dyc@IbK5yuce+Ox(7?=4FspR*EC~5qhX`;@I3j!H_!` zB956jSj2!8P50R(oh9y(dc>~MGm{sNzJ~+7r{4n)q+{H7?3-GPf$q{#bb3VYnZiyMg`zN zSLZ#6)yA;%Tt?w$|3KOo1X7&5S7y{U4wmXv8?OjdR&a4xM1GT$i5A&gZ}LtXF%#7S zd|4Xpg@{9GISmN8|EB|hRHsxfIm3U|=`|A;{_Es7hQ@MK&XtdMY!_Paoq4kiHDfr6 z{w>|im)g6<*O@C@v{qvj-3*G)Jv70*H7V=3*cQk67+vIo5a{hQtoRs$X*mC7Bse`k zrG$W34>KQ)+(lGE7nsa@2M&CRGrbE7Mug_51fj)CR(47TOkj0ue*T10kl3Gb1p_aY zv_|h<+*6TwP$B+Xy;lpMw>0kmOoqwB2n4(IyIn)9fx^%D8kj#&-mtH-s1mDJ!-b!x zpn$VPBlt#P&;OLVQ<4UbixQAdf7BC|A4}8RuMOv{Bt9TZ&7Z@$nR1iR`UBL+RKC3PHfw@oyKhJG`8(DXlymM zZQk>KW8B}6GxoEy_F8k68J4BMi}Z3Qva_UyI$?iu+iTRngMAL$1CJFt8Xq*YEOqF!K4~&^6>(??uKzBT-Xm-kqPW2rgA_ zr99$$ml^a^12Gw56q%Y&t%9Q?1nnTxI?WZvtLXe-$R4i3Me&b$w$*2P@V4(@hdR@N zKC~f_DEx>*6@{!dYZIogiD^}PFVo2dFK~6uJS4k*RUQaZNN}R@MKb0_#7&IvjM}dI zljH6Q3a-mAD=NU|VZJU4LByBI0Zm$Vt<6qCRY>iJXpP&WV2v3?55MXjatEoeL6fGP zkWsPa!M^{Wph3qFA^tab^gOM}1I8zV^4Fg+$1-A-H44JCP4qDC{_PQf!PFoly{raQ zFW8G(u-`hbrLZPg(Cn~oZD`d`A^i}0Z%++YRb=GmM<>>S624xl0JIo5^UaBI3Or*( z=xQfOydddB;U5h*M;~bck4InAUvqQg6_^l6!*lGY&)$bvGadFZNCF5Br$;zJ`jcIG ztB>-+&S$GvmZkwN7p+FxA)QFW&b3KL3?3L5=%e3wUOG1CdbxjEyY*ELe=eRJb-Z$e z;)s2&%ICpH+1|*u5WT*=gWdV;+ohaqi%}8yuU2n^P`zgaCF&iqrMDF57zBFBDACX1 z=i;Z>uM7E*yg$;^HK(LGpUL@8Q5)U08#7OQFSq&9x`8kpb8IN>n`Fxle=qGPSmw2V zp|>RzPB}gXl3eDirOd4DL;u^B9@%yR&QqO8Y(s{Z@0Fh0cjuaDH3T=o64F{_+D#qxNVWBk+KPC>>1AU>9Lnu`b)ik@0F^5@V$5uwis?1*o)kG>- zC9)EY^`#G(XilK+bY#k$`pn6GYd_|`z9{%zk#rMGQPtWyBf6kl@jp3pq&l*zvqCb8 z&&*T~UmcuVp~nqxQQ7LAV6|p)t7V#XwhgJ)?z(Pdt}Qhsl365T&#>ocq}UOuzLhW> zz#y71yW-jmSqg#zCv2Y4QT%?vJtB>lsIpiwBt_8h~J>5u4pEIAjf* zEaMm@SI8&$h_)a^8w~_WJAzwB%Q3Hj^MBv}>jgdG9Ae@BPFTU&QqT#zio&b~7t%Q_KDd-Z08IV#2r;wJCp|xLKInNqkR2K^@w+_XsoBq?Kl2<9NGZq6 zUNElMLNroRt99@W_%re-Z4Qrv+b(XXJ?p9O=UlZpV~@l@Lzd%N`4pY7D!JyjMPoE) z#B|5-M;tZfC_gk-1+~Qq@#uQ}ejNDd@6z#wyAFdA zomxV;TzM^_r!~G(O)XJBeKCKtd^9f*R$1(&p^}^85+gHBFm-sub}!PYWkftV1SkUV zMpq{3(^if<_>@eNv5|8_=)i%t5QI~z+2joPz*!ZxY+XL;tdy5#Q{}wizTHnN)M|h+X&qX9(!ijl&O<GgfiH40-C8bkA$g^_G z;P?xju0sW*&XK_Zzts9=kVbBbH|gLNBpljF#OckFjd%B+l^_rpt(4WTbW zW!L)d*NZ+x$WKi2s_&w+*nvzR7i0{%pj$4!g#l z8bw#e-F;rLONd)5gl7q~O3GDiWDiUJ9%*7*GMjr3LU=Iw`Evg_;^=up(0|MOO6TL8 z|M2&%C{LpNXOo_qb@fJ1$BB)dkx?SshZ3zksvHL&h2L{$d)>Qqrx4=Z^3h9NoX4E4 z%oQSdb>Z{+KyZ?F{uupGrTE*_*98Mmr_W{k#_U+#nm?1~j@+9DxOw51r z-qdT{{@RBLf+D$b@c`CSE`kwY^1d`vjZ;Aif@}upWpukJzuIf{ zJg(o|CH!)pn;lzuEZz;le=zXRbuZSLAx#jlGFU#S#Qcb#N)Wi>+e%mWa9TK3hgTmX zdzH@I!n*~nuN?BSFPM)R%02Z+Z^G>?&bsJpgcVPuze{l&=8%u6f|i^N-wbOFb*fgp zDvO&*f2=Y_moK2=MPV!1kpz1Z1BuPMZA9pgKcbPSOuT9*5Vo0+*-@=z&0FU;rOr!! z`XXK3ORHJ=}8(o3`awUsM zCf!EFa34i#uu@T4k;>-#3&~BcFdqoSpO0L^EmT(^>OKH-NQ)TN#*CpoP4`qz`5lO$ zZK>5HrSN@9j@ROu$|bu@bvn&s!s~hS6&Nq4H>@K zM}i!@h~s}p!`A;9edP!BEdH{sG);o-Jt%#}u?IEEc3&u(|FHNC~^CO)E*Bmf1KBw`Rtd1AYcF8k56>cn>_dQ5)7o!($K02w|@mxX}WNh9+Ww&D-}6KQoxuqJRYs;TW?a>B_OUh6ofI0L*A29 z+TYE1n394&Zj%hC{_#sCAmn2@!tOqnImVgCOLYlSWrw}%6phF(szT1S*T-JGtehk$ zn9Q0gLsT2mIv~ye%{^?p?Ze>JlLOWlM=D%X&IE%8UWBG&k}8;i%PiIX=&H9)z#-wZ`6$=_n_Cb5fz$i8xN!cWI?Qe!3=9I~{&CeSF)JM!0GbZ!rPgasb(o zvJe_DNH$VjoqyCgK0QN<9v+b1LXLvwL;6PxqR+G@bKC&!Nc%VSVdwmzh&G>hyT_oX ze`IqY_YlEQrRtT#^amG7H6!3R%IJUaMIxi^xA{8|f#{m4Y#s*FWIAs1zY46Xn+C9S zL_y2c{G-FaH)t12dAlsVowSL#YOpXq77feow3w%65QBXd*XvT%26 z^w*;^+jI@&pu#A2d)sMzJXqftD?PP*2=BYPHkt-p!ir-0QqGMnK1B3(nTP2`Bp7Qw zp+aYE{PQrtQxfvZ*QzBpdgMkHa*2EA2h&w�W80Jze7*CE{ z-;xm)8q*N*s_M`G>+|pnmW0-sK8>`iEmO5dMx1c@t}q*cQAT5Cq4{N&?rvU4SIH6o z42rLtd;&ZuEyv79>3nkBO9_iNl@J;12P#W|h=J$dE;~4Pc4)>8Gh}H?7KP8&;{zQK za7z;(8rrWuM_!-)@WH1dFI8oCA=!0QrFnXZ{_etHdupCvl^JNFpC+L`c~k7}-jUpuc@h)p&j$G&1~5GIk$QMkz^cO2CTgK(x)K{Q=esP|L!$@@$L~j7D}S&{_lAZIVwJpR34D{sp(U ziQyM+stF5wbLX|Y!%i*2F?w%MZ=mL@1n%XW1XLp$w zPQ3p>P5z+x-?gFWvf<<&vJ7->Xp1BUHMu%I;nEKNS)e%RMXPT$`lRJVG9Tu+GrWRE z1=Y|D;^Ciw-Y3m2^a_zdzc?5Fp6_|y%4+MhLGQ>u$MsZY!KEN+(V8s7{4fT?C{b{QZv;Wqw~gB(u- znMFb`n9U7#Jm=oUum2KV1>DWb@Uan7qb28jvjajttzoV{d$wad9QwZyuB3{umI;3F zRK6VX0N2{WIaLMAqDyJpGg3<-{5r?-=r>GRK2}EaRm%r>V3JFv15xiix+5bBsa@D0 zb$B5W`*q)q4AEk2>)glvX3!Es4a-HXc3Je;=tvAdLVnsXHF^1`i><6_Cwjh#5V<0W4}_kB zyUS>}kW!^Ap+Pb1KvpF(h=&!tfYS(7r<$sZ}QNi|@q`0b|!Ec^@j;0D%}BU#Kva5}tA z#}`$Y^4Q7ML#uzF*Jg2rb)b^>br0iXb2fXia0)s7%}|E7{ae7t68pA>eS9V)$exim zZD~U*EvO zC}D({rf%ECuAOg_HlR*z&G_1<#&DYgM8QoC!r>_dE>jF=;Z6M)GDMs*X8{#rIyxVJ zRv&wdEg%KbC@L}|UzcXT?zif7TwJ_ewIl=4;i~Q9fMNX4RXRP_xfdQW!3T|dKKA&z z1NaEyx}CW46V*uh1%(5y6=HZ+1+V%fthlegi?V%05*72_40@gyw?4*Z&KSb>w5hBY zDw8W#9!yt$IMnbwu0IdozU#d%oa_p%0CYaciX!a(1&lvQIrYDTV=|n+UFK;A$tF${ZgHcdF&E4XTZnGyA1xb zX#?F@>nnSceRSsWqjXh1H~z|-{q@Z2_C4{&&q`W@)9mz>5p0{;`vI{BALQ7;kgCB- z(~VI+4dl`&KJQcK#u}QS9K+p8>m9EE`1MOjq0FBMwtJ;CiHh@P!={U`C+Un2LkCiA zGC^1F4ajCw7T1S@*(HfV-`X66_|_3RwWakuiqFKS&ii6}-F!2d=u1ANZH9nB&ezpW z{a!aFO3sPopVmyKTOqG@9VCdCf<kI6FH%zr1ozEr?rnsEFhBwPra<&n14ur)FP!iX zt?aE){&!-ZX?RS!dK)inGzhRk!&Z0nU-O=M-BeQqpq?Dh`Hp|$qJQ)C6zbSz+M(W9 z5va#!E*;9whNuO_89ar1pqQe`F#MD~_Ojfu7nh@c6LvX$i{p#LdvNq@5OHGL;GQV& z>-6f)!~klntCHIiux~9iZ{yS)LUs}b=!q>LT4udB7@N;iS^va#o3EbKXAb^*8;^90 zV-HhX)#86mH)(%(d~?fUaQ%4{yDCeZ?yuBzZ-C$02gdv-G*i(C@{hJ0)TA25+3B$S z)f3KrG$Y`9OG@&o`47TZHv&O*hla2wfGxQQyQkbA?3Iol$%Y#Sp3>{#uFX{pdB`Xh z-@TN5162E;y>yd7>j&pUzL;)`JUjaLoqdlRK3l?$jb81KgdTVD50MsJdukRPm7yA5 z{;^NdJCz~MB>dGxO6j#WyJXWFkD|s99flN5P+0{fR!D+-i~Xu1m~PZ~hU1ldXx}8i zTb>Vm0UF5UOo&26n&MN)CQ_s7$?2r#@oV~l+(sJCTCd^r_<>^>;Z}bMD~Vgka?Z|B zYfM;NNK*+Hl$C(jhJT@>2h7z{g7VGYy4BNBb_;2BwZ)Xdu~OVBBw&%0k*7HJ?VuB0 zzArd(y`dqwk^oG=NNt>5T57gk>*8cvG6`MFOwTi{8<#|PH0M=`pY7>?JW=%A?`^*5 zIOO}$V!JoTie%N5^;m3RGHv0w*L8`*Wf{cZ2Vv`%sQzizT?mKCzXMQLA z9Bge(j|SAYZiL3|o@_=JQ$V|bf9p4hz|p^+CnGwakRB3r=7GgQv^*+q@e@j)!O9!6 zyxvm1r>q}^5P-PVupiN#tWge%t6z4z4Qy1T2+6sPs(cyN&`vK^L|5^z2QCaAo5X1w zT*u?THtoFseUc=sp1YE+;(DegfAKC{?KqUi&0Bk|Gj1qV3wb;Xu0B373gD$5WEgy_ zDUG|@3+s5$lmCk0co^%(_vs>3*ch$FFp*wrS1HAEg=E>mhET$d^bK{Hm>+_k1`rbt z4WrWGU|<*Db}6OW-SzuUr@osT)YMQ{B8(fdQqrA&Cv*}n$-)L8?kCL5L*!36A(aMs z878qnc#}j7-TFygndI^L2@+|W>KKxlB}{FOslrjJn}vb=Akp}l-r#%HhT=o+$MVA& zWZ$hFkY}B%th^w)<(c)oQ{%z`dQ;I<0ARASoB(csX7JEHw~x_3a3(YqOqQ1@=RE z#@$coJX7SW0%vjw6PXTg$vBsheaONf*6YYcCaMI}M+)|iHIptU?z+3CZd&n(Iawuc zEJS-jZ@{{&b?$=PV6BPB(cH6}VF;fl3}3TFcG=)?SDU?g^E3l1AR_Hgyypr)Ok8^3 zaRuT!*!6exwDxvTVe-^<0U}<`~%eOHQ7^I4tBWl0b2_raQSz>5gJ{6 zEM6yc8!kR|uK+y;BMW?t&pY0zOS89|XW(g_A$4%^ z%g5k@N~+ZJ(_s7ytE~Ot0|d6Y4QQ|Y@>LWXPj8Kz*wEvhG*tc#$>E!yANO>>l{lZ- z8pvkEkUYSg1m`=SHQE{*rQk$pq49-922xjm$5ug9;UC`AXv9;W+WFe{UY_;0`n)## znqqvlYKtfQ$YL6ToUI z>xKR^^;L<4exoo`J*1FORt2NhT~%Kh>C^!%oqcpt%6iF?ZenoKn=a)yX}46%i7CLw zXdwO-B=LGVGJpXLPH@RXowZPTvTvIj$pTY>6!mNf9HQ#QV$V&vGlLx~gQ}$;Mn2oA z&Q{ouW;n`g>{h~I9EilpLGaN1)@oB_rWe(Jtuxc4m8Oaj&q`>=`NA3nY#>89qicPF z`C+xx58ONc@Lw-oc9-%>shyxCEhP1Z43w*!c+17;A84Ira^7+`^Zqh;G8_MnwZA zJM1M}eOJ{#&n7~OZT#=Us^#WWRL2jQw2qGQszSA{Hz;Zc_+^d+Ncv!FKCiL9pyCmQF`g#R_aDX1 z&Ts#EMZL~SzB;+TGr-5c*pSs~QHzhAFhKM z?**af$2R`Gu+?G{0K*$k+VAq0$VAQ}z-4FXs8T&cR@1Y#B#htp4l}mSq1b~MU>E2X z6;q-8D~w}o;5>qiL=bbt$OnqtK$bFaz1VSIg9=Z6VFmBS^sBI7=|5~iRoD431EIEMN#&8Ib_0efv@s_#@|2vT(ijE7UMY&; zoeBL-h?LOZxv^FzHWMr^MyrocD)0WupP2Tgr}XT-QUzZ8nhsb+_MrOQhUMz#5TzgZ$NAC7d5+$5*>Pl#}-s?SnWuF zGAz&}^uWmdD4LERq>^(PdwQs8p! zr8OuFsqD1zcnvg8KrOT{`=$-<3I~FD7I=_7r#j~EEgJHv_ml<~EDJ0OpYT_dS)^-B z1Umh8bVz$YFsi%sflzc$z1X=CdSWnmzTE1T%GzpSq6Gem1i(5ULg%V zeB9#U_7?v&gj`y(@3{DA?@#+4{M2Lu#9Q3<2#F>bH@DLGf}*p1%1k+(i6=JtYCwQx z$`;`!p6IIGl;h@Z))t>3?}-5PML&i*_W;z*crKC)-Xyox9(G;q;PYxkWCLy&bXOwNLj{dRJa!7nlG9fCW?R$oE`1e#0LxEjE zUh}-;gw0-LjRo3hAZ5)#z{IlOJ3+wX1G-Chjo}aE%C4T(wE2?$N!o>cK@$8mb!ZhH z_$=|d9>qBdILop0KLDIfb{LhC^j}zD=xk0lXp4sgy;UmdQcHZC2aTl*f{GcEv|};Y zCdnsspT^=Ge{kW}Vlb3pmG7{OmvHK;WT!Iu8zU>`DBg|GqM$i#AhWS-BpD^x&?i0; zgiT%i0_;-lN{1j}X`uFUgvFEQVywMW6Lv)Bm3VIo*%36w=iGk~rkWWJ19pt4pagf< zh1*3e!P3{z$zQ`}dN9r)*jYP(ByA8Nh!-^algfV(uh+m+<`kep*MC=yGtBQ{GZh3J z@rs}YF;&wVT}*id_xob>sygY%-VQ!Vd>8|-TkgHXd0vc~A{U=N$=w_1Ll7PeFA|($ zX+qTl#xK*bq41`V_oT7))(f+P{|B5VgbiAU6c=WCHeIi<=D1Z1xhQ#yMICtb6f`0R z9gEoC3$x^JqthGQ14Y708mahm>46K4A@b(}Bh{`u`ravD5n^4#Jty$@*RbaY0-xjlZiWd zur3$1bfaR;5pCty$wA#pSi?lCvXj=GpgUVuHhvlkM@j=593LSyyVCnc;YzJGYH)s8 zAa+CF>u-p*U(fS~oO}G-fi=)UND;~k-?=V2Ypu&>ctXQrDlyZKNN`>x?tGgW^c*6d z1T4UcRtjl5(r(Kjy0yke>5dYrX^O#w3&xxW`pZP@P9rr%X2%R%8W0GwnNr}((UF+VjynoLMVM?w#X?D=dWc1h#jboMD|eM1z(iQ< z;jhj<8U}%q9lao$T5H`)^xh3Owy6^`b``7q4E#C*n#c>?Lo;lc%K7^wX()Uo=Xuob zmbA)0bqp7K)L~vfGqAj9fBu-`pCCS(^6H3EL+OBX$QEuQj-+K$0ajQ#i~Jf)43-;g zEi{(AFd$5OZ0?{3kQ8jS;Ak?k8T#S|R+qsQ)J|LjqwXQV9WB6x-FNeT@TdK!DXOWy zf{p5~N|YY|q-H|n*P8`s8ve~|uKj@JM9sAW90#jL@DbaBG;cu(M*TTv0=+aPNSnie0@s#-_29PDnIyHYSseILN`BXD|RNCf)-qx5^f}jlbYGW49 zU=C3Ja<1D zoj~;0mxu;x0&s!$caZjOz5>s7Ee$6k(QT2(j~{c~{-hYKQhC5n6IDhvw{3w%B6=VH z6KMI9v38Y;eBc%tPp(>S*a1UV4}ZZ1p$Rm9f+mGY(4uhXOPG__by2bHr7B@1`OjvXL4z3E;CMjqUNo-{i=dj-p zesF@O*QRP*vOJdp9EQ>cu8zCc_7^=id$#v{rx8Ub%32X}L$PMXm^CaDfZTb~*l-v9 zj2|PL>!1TZ{75sRn>8CuPb!R6&CdTQQbBEq&czxSYZGNP(XJGboUBqz#4E7eJrZyl zd7k_BJ`aM3QhD?bX4hH?N|FhHw_fNZ3ZI&>_|8xFAXk`bWQLs9=iL1Q>4W(cAF{>W z3x?J*kN&Krp_nf?>PkYnaZgAKby)~LDm=_}PEKnn;qVua@%qR>=+fo-6&O5H)=b>Z znJLRzK~CX37srpDRML*SW5n!+Z%iuSW#ITVtA#o^xmw{(MZ{B*`)+p?%tH2bm%~YP zUc09(w(}9(DT)Mr$^GF(#wxxg%sHDLHQinbMI;dWh3)n5i6W+9`z@i^pb`XhP#|>{ zH+qA%x=)P`P=Kld>uWUL%;<{`JGS}P4mx-{S~|{(=99%X^g8DUu|s}>4ql063Q#RR z^?4!E^LH6r`=P@YXrKuqV%#G@ptyYvKmSo2`R&+5TpP^cN3_@5F8_N1hfelztANKc zb2sn(s|UQ#dotjqQrej{KxoRM^!&LQWf!@DAHdV6CLg5xh4FBSSUU##$4kf*Rmujty0n z-&7#9N+XY@9(qokV5;l_0FnG~d>WPhPGC_`ORO#BxY!jGT|Qk|_G4FJ8GKl{#1)}q zH`oO>A zMnlT~*UsS4llu=tyR7Ju=<3~22D%J;?;B&H71}cjrso@U8IarT9P?F9d*2nePK~|f zG`=x?OifI8;G%C{Ycwm4e>w{4>c?t))9^xXjiPoJ!~Gs+e#ms6)O)KCuqzwzaTd^X zgakc%lXKEt2f2+l7BL|sranF~HEulBl&u0_na~7)LgKxBtq5Z{w4auE=@AM)_#asW1|~2b+>__l2GrHE8nN)IKA^ZV ziTXFzm?9X(o2M@VM*i+RdCv!6ManCSq*>k0lFqSL!ntKYq#>)J#PiG#s43NR;UpSN z>d`oi;laW%3;>^#o9ev$&|IBf&R=xYKf`T%u@GapK-KxbPM{4AY+S-%JAP)fZy2B* zz2eWrqJNn;)FTV5j%ZiO3^8}@%SjG^9-=w4fk-I{ie!Z_^mKmNFverBZe3m8{JKTv z*kTOqh8L5HGklAlgF1VxDtN|Uq?({ZeltR3(Q7a+o1oJX9g!u9>d+hHJ22PTCZ`FU zMR)?QQEnI(6+3|qVEpOS9L6(-Y{fSyKNLUtkXdtBAp=la3nG{G-Egk0;9#^wexIoI zoDvAQs3we*q#IP>7eIklv3MQmYU0~Eq#znsuZaCOF(7toCw_o@q_ZoC;8e@+#owFu zKst6vPfGEyqsjPWsX={EWV=-_N49eQ4pkd`hX00>%UF7k$z|oGX|utxMOC5@s91{T z{fqkOn4MX0i3t)?fA2O+3{?ZQ;6iAUKG2ILEyzwWqlaP^1kKU3aUqxBlOKGLm8YNg zxKbI*|E>NL@Souo^cHAp$m`3|{$3o(00n{3^Drj>FeFzuuOglc$@s5sBt`p)cK-Qt zwr~Pb(fNa4Fmhp|O+ZlFm=VPC4mpi5G#tRG5{kESRX5i;A!c$V+i19t1{jL@ZkzP4 zF%7EN?7-JtIoF|E*Ora_7?DUZJFE=3GVnluCg3uXkfg#X#tr9QST=bDH;Z5faW~Vp(iWJ;XD2k^)*P^2Zh`(* z&uwq|`)9@aNFPXB9g^Py_PiCL_kuABNF6R6%EMvia&=-ylH_-Epmj1l&n|~ zOEazzmGZB}9kNB=%E~rsiOP#j(rHjnA2D==lZ_SGBV-#}N8`GVMJ2;R4Mw$wPLrJ) zO-)o5|A;Khq@&%$sB88E&bs#w(pMkZC2X#n?4x}t7`PsC6bHRkvNh1JPw6wni9m|R z`tL|`sgYSiRKn$q8~qw^$DnHIvV;fggq7Y=)A^5j%ykoZ7vHY}MKSykVbkAe07KH$ z9uvI~riCtc7CRtciIJfod-*}=vT==OGd(#uT|bt4`4igsch?Hj={rNfOP2o$LqOFL)1n*x8Lz4nlUt&K zP1}&KQ$3_^Y6zDio>({~>v7XBw+yuKPe~OZ>&!8U`JbMx%q6|_!9w>E$q z4WSR!_QF)Zajb}larwbf;VX7B5IL(a`ptn1%L89(9t3SH_hjhaIUBC{4&-m<_tl9~>G>ChfJ3N6k z8@f4IOti`d$nrnTcqQK~IiXr24}EEoc$VI?u>xT?7S+`I^$ugCKIdb93c~7=?3i&^@^cbz2TiBy=XF!6IU|u}?S_#45L&rFeljD3u zgLqEhhqd9zWfPH&fpedjtVg1{(fP%q_CWKG;OIdtU2Nh$1(n8czceyV@YK0(BfZja z@#L&Z2nU-uQDf&-rH#Vb&@!h8>nB;cSZ4f%wE&NrD*0d5Dw%3JYRcCcpZ#C=Wb8mNy(teLz!j~b~@n;r3h%lXO?1LiBQi#Lg_M^K1)+$yZ)Jb z8E}ab@L1b(ZqYy=Kj~8~!s5XsG+gAHdf^RXc5eJRC`|F=VI>XeKA^vNV9UNfOF!9q zi^=1^t8uVjjhX5T{oABL` z;jVY~F6Pjo6S7eFTFm!VKcOyyh-yHlKFw)s@U0?5pS(nPl|TTr0BfxS9UX+eMi#dP zURK~~R&B`#zF@o!*5_Ej1LarPS$DQB{H{jETne9VMQ^ppeWJDuO;VvsJgBlZw?dPT z)Y4N4>wz+85{vd~!D^gK^f4YwL^(g~9dt!i5b*k)^I^wfuswCBM4t2}^zkyKyzXRK znMJG!M^ke|C~)PZtGiN4R(RaY+My>7wnek@aRmF~$Q?0>JAWMrX&~@Tv~$NQgB9_p zF&Oc=NBLRO&z`MR>qDVr!KYLF2&m#_m#RuR8Yv`M=4fLp)&{D#6R!|0zcmv?MJ2JR z?+7x^YlGL23PK_zhpZiv*^P4jq@4CC5`Y~@4j||pzS~pn|6t9`XiV79Sq@&PV;i_Tb}X z!l4zeb?J!F(N@A@ty^8Fu$<_dssR6uo0Z;%MM0VHS(M&n0GUsOIH~;#YVcxFHYn<} zDJh#ad^8tX8C{~p@PinzxEm;sq)kwU2A)?d{y2$Y$R;4jnbXvBdk0($KeRvPZo`2L z`G1cgl@*=e_yte?cfVLNjzQD*YeNFWcMw~4o3vSK1Ra);F%SC+HApz#nWz{4_DPZI$aKqo`c=i z_4XSI*20?QmTuvFLrUV&Vfp7zz&c043zGrdGZPkxNpBUxt-JwH#<*c$`m55(WJbR$lNgFCg^{dw@yRy;0|> zcr9j(>^_aRXDi(x(XEfh-3Goa9Nxrjw`}i&yKOlE;hlc@ZBbpNSStfywM}Yj)}Yw2 z;PMqt+g^DfX#%|cImhZhS^J`l*PV@bnzitPJz>=)4jfrjyILX@9A{RxwnEfj{X}G; zMFgk-aNwHRdKVWKpz^46x_DX4v+EtzE?$FO0KI2T;HMK$4rKImShDy`y-WJZaKH*K z^b^0S1X!xUylkvxN)kEA$6Zbs(hiiR=uXV3vO3|`CRj4bD)LaPNEWIw-hxb*4+1ft1-r%J+5-o7u?Sm(A#sP!2^8#(y$9w#$1*&Lf*B@Sh0ER-o8JFAyA_f6Dp!jgaa{20^MlmR>iVWra#8(-^nXrJbfzr~^m zEZ^UWAO#|2N8a{e0+JF7<>%}upHpd%=eKrLW+wGc|qPFcpcLezq`BM z(@OtK0)v<8FB^+T5$$3#y?ZwYWx0Bv3`q_v=-4)Ix>Ebzw9zH6lmY*sg|!DkA`Lfg z#Iz`5V2BDehtFpM1}(dRU4?1NZUtvvma@gWchuX&_>M8 z#>BC_Br}Pxlm1>#7T};MD1p@A2sdVtJ}hwWQ!c-leJ&G$SG!TxJ1OTy7+Ld@8f{SX zkwv|X@X52H`EZbhN{9+;@mXw1qB^M+C&uBN@BK3pSd-vC$T0@f{r}uVY|~^8sHft( z4nOJSi{q?8b`bI;Le1wvg$8nf&Cpm8>S2CzPRnWd%sYiYhQ3cZv$6VZE-p$Hd~QMZYW0bDP*ZT_ zHlfqc#ybL{AmI<)E(**-|F~uf5n5#v3T{Y3U)Jy7bfZCM=two(Xz?O6!>cv!86JId zJ${S?ggJAKa4NzzZYM@nKoH4ei`+>#Z@~C^&IQxSES6ervFxv5YcSw$HW?jm(f*G* zy9`47_vzI~Yeq0$=|QIfPCJ*X^Wr?K7C}e$IkNlsINT%C@1Cx!Mnuc&CbR=gVAW3O zFEt8Ms|OIeGlaEqO_v0+Z_0dN{=S60oZ+~*>Ejisa;~q@jK?z@P0_j=ZL$>Qg;^^M zJZTJ=UaX9L2tl7p0^T4Nu(+)mk7@2`eB<{Y z07zpxybRIT-e;KImvb%~kK@hYS-=KdhzKHSz)gywib<5jKM{|uiB0UmXz8oVCQ=^Lcy3$q)N|KN;rMFePcDGQc;b9#-;DGI8bY4j)fxDD_eB>BAdiPF!| za^tz+D#Y@x&SU-So}p>+r7VKcn)Zk=HsXNzI+uoABRw*bH(_sCun9~NK?XN|O?h!ctf<`MupZ_2?&b>+HGpLSokhKu zSU6+F>}p(uE=$Xj<|YH|UfU=c4TA5nu{Nrvyw)?1MBKc=9lCExWUw7z$W51!ARqeH zI{%5L;`i@)t~T^CiA~@5y)VO|I7>|#&jtJ4pNLQz(hyC+3ZZ9U(nfaR$O=yGv8zKy zAkt9}g0vpN!NhRR9Uf!%xY6-DiOuxQIcf}VWY(I5#N8KwN~VWDTSd4TZeM@ef-gHppX7jZMnx_ znR=UYPP?33?FKzRQN}5Md?8mJ5?nx^HF0>dM(6Hzr0wrYW;LdUj2uqAFDj2+mx|Ne zB4%zYQ~r0$(C_&0M=>glWXL)|Mg~P!7@%S1j|QV=x3q*m3j@0;3Dl!M_Jpn&h&(=+T%Ywm% z(pp3DHx>86Bm+}xT~`Dsn&jG&OE?JboB8Ps zqKkcW53`w=iO4@qCye=f%F94-QnX}e{d7$o1wEriU}hcCrlD|lyV(%~Eq$`@x?iu$ zMqHZl3X=IDWQ#ZT*wUzR-z<8;#@POhzRE>qXJq-v^dNC9zJY>APRKd9 zN@S?2O9-y*2;|G%Tn}+bMCN7epT>63%g(b)o$6zW!OU{_(t!;U6U7WpNDH60%&Bqd z#|6=jgHv2!Ly+}l{PZfa&%)<~f!C}N9m}o5;)Y&@W9PqBvfpN}k<5*h(aY*%Z(WTe za+R1YsM4;+#;DX3`~o5;oQS7$*eRkysaPV0o$(8-2B!*F)d z^abB;e#mrU;Z-Z5!wyr|P4Mmh+9jqekhi1K`+HMD7mbtcnw6QOS`z2|k7hn4oE}&- zPH7IiqW7-#uh1k4LDv)G1pM>e&wAu;PJmY~Q$~*ni&zcg=-A|%IbHt4llmqa{tZrT z!32%jCTPoXM5d#Y-Rt4cE*5xN!!4-NDjdeZX*CUoZ`JrqP)lDeCF4HbFawZA-8|U% zt71Lt9f4UM*;TE)*=>HouXP?8nF8SiZi$E&m%Pt&-n}AD8e(JkP}h<2SBQudfk_6Q z%pbZ*B}HC$-2TX4P$o1;5X^HI<$lSH_h-}>ZT4`VX@4traKUW#w@ua4eko{K{T~3> zKqtS-iNk}<@`A792IrSF6iXknLXqJpS!_U-DDK84juO@~I4aE|)Ounou1a9FV?V5m z;%KH7{$4_^*#xJUXQ7lV*6 z*CSvw4ij%KxR-EKI9c;6lZATYcqK zf(kS3cG8AYLi=eqD)cIg-D9L-c5bpxf|d!HWWxrbTL2aCn+0x}$v4h3d~&#3E%%nV@s1h)YGbPV+s~c$?<^!^zKm2- zofm~2YSGnUs+H?6I!W2pVo{VfnMD&VTijw%0dFicBxLraE3ZTmM}UKqv8joIg^Y9! z{#XvgtsZe_wnhiE^i{HA6VN&whEDlf|NO}`}v^BMQT zZ%OaQ(9kK3u>EJZ*b9fl!!|TYLskOQJ*vihp{GoPy1UXZCrg><)8-+K1xT7I)T%oL zk@hCOP+5Muk00BlT~+jjagr4>u8B&78>_;Sta#Fl$4Bw263sCQ2hVxD;!fb&F6g!t z@zi5y7Op!=C3uxNJdJA|7b{+C!TEDz8Mvm)(Po{ZZNa#eRgle3z#liFwD%{a(_>VvV|s=@?`7YO)K7XO;~ZF<#Pm@%NZ8EP)jjIQ;9|_9alA*IXs!~LF=&H* zq}(npxC$>l<#^A?^SfYGTK7yzap z5$zdfV6*{)M-2SX#5j8d{O1~Jq;I%JSH(!*yc*rONBX7`1CkIuVus~_hqs5D$Aaq4 z+RodT?%?0TmeS0o;#5EBkA*ehXRI*wi~sh-BPu*L&nR1iYrww=|(yRYhbXVUkhBE&#D`>T_r<* zEGTU2=_E*-(mwQS?*pz|yy2|ZX8vAE-5{p+nXlD;c~TepRVHB5GgV)2S@AxNjmW#b z{W1afrqj!Xk7)@*+8KQ2@1=w_$1lRBKu=FD`=1eO8m^SYAukyGp#cE9rV^yvR{YP^ zqh}b|TlKCXK9el9Uh&oBqFrj~V6>g#bD5m0Y4@Pt-mZIYw8a&Pr#kJlP}hyF3=8oh zXlL=s_8}me;#8yt`T@4FECwv$r3E5sgOm+-s-T+=PF>{#4e*66(J&}V@$Q#BpO zBu!ZgOm9(^CUt}3bnF)wF}Nr)ha&@_^&}@(9aiI0x>*ph1BeOHQk8<}yJ^P)zllI) zWrw0#RM3~|7_}vxlLp8nF$d=)F5@|lRT0KcjGEBP^i}2Jsn(4LXfnsHg>%T;x+z@% zvw>iWVrfCq)7Irnv*`m4*5`Dj_Or@BW22NujNwRyVn{>3T~#in%Pgdbq?zg%^rfjB z3lJ-$$2rpUF6osYMOgxpDp-_}j%m9O%FPa+6(w4ZW7!RzBCdOA)6%aU0Xj+|+hCBD zMsY5LXvb~F10w{Ru|i0Zed}Y_;3dT(M$!hszz!==PDoiUS9cmk_{>dOD9ofu*Jyqd z>TM^jR=+)>VXUBLIF-VrX+2 zVvID>NCS;7iIKjkHM((+^i3#+B_Vpa56)o#-df(o#JA`b`-E;`OKCF>;fh~<#fN{f zzZn1OkGuh_tp-*8Y2YWs^#=u|Mu*wgnf7$wQz2fkgdd^tDKRG>3#MD~Qm6vU2=+6d zHI!R)LItETEoW+*_G8^IIAOKCGl^Cl6DQV}sES?)?#`7SyRCZ*xI$e_+6iwy_bs-| z;R;a1lv0^LfoV?xn;4)kHUfZw(n7j@#rqtanQXG`L1=5BN^%7yc)Av=)trP84D6Ty zqo6mhy4R*MpO>#?85kJ=uh|IhB}BNLyUyJhW6^V#{r|*3A6x#@=Z?Lw(E5eg1W9G@ zrMQl4Y_i40xS5h?8Frf$tkajRMo1>jiqZ~$p~$Zm1;jDV0TIEi#T+yxO+ zC9KXSXW;g&f41}3%VXh`;9@D}WX%!jQCX^qq++59US8Wo#|2;ED^4$E3CA-Xj>N&W z%TCIKK<()UfvAD;h6C2WPfbqRH~mJbDlLk_7CoP+Vq5z4)H)&m(>eD7xVUhj)R_rH zU!^_Qx+qz!VI?4G`;(=#sioVOUV-%jn#!upSv!Ke@5n0Cu?(pg*L0R9NTgG+9@Nya zhiMlhI7AM|LZ;0?s*p_7OUKeYRxIFc2gFUgIM1WFLqY&pIllc|XgFE9tVBTU)WDUJ zWS?RsaX8!M3@)CmSOj$14;Z7XiNXKt1x}F!@G!p^vGoIIoNQ(DII0jOjkbM%fk#Qi za7t|D+2aE#y_<>4Hvh`sfpGlVidfLVL2VPbEKKxpQ*(SK;o5As54p-;?agAwz%WJ{X{3Qhm&8cl>>Ay> zNBX7}gOf1V!*rCw!1+_q7gTqx^qcm5zg}svw-h-3&dRpE|NNVO_@ehUddtj8dr4=q zIN_)OLazAbUfiwwyt4Ew($y0@r84AGo1kKKn-RyvMaZhvU&zuA@BPDL8KB`kk*D05D-H zaTVsa?R)?@M_-84Pd6|7A15-C-J6M%WM}yp{EbD=UiLp#N-xqt8V+Oo*fR-0O4rjc zt+(oa1tcbACYuF$rbc>=I3!%SUB-vuXPx@@TnbZ16Hp;W!I;03juC*#KzihjDX`Dt5@eEu-i9rSl@PmghEeYXl-mt|Vi_^2Z~nXZulXLjH;NmH6#Uto)~ zz^eq~wH^BX?4fj(#!Uc{4)NV085qfoJv{9=ne7{}?Wb6AGI8f7bU1}@fH-sMPvqG1 z&JkEK{t0b2(*c<{=LxG6c>*w6q^?)P&D-lO(8ylWr&!WRu$DGcn&Y)Iphcead!RUx z_MOa{r71=`PV58v9-0w3DtY-Fh${rM;f+l~mDHsv1r)UC+v4imz(nL?>P% znPX|k&eZWdq4`c6HLlL1-=s;-T4JFE_PQ^8SnSGTGy+_IO&P+w)wN{^ZcU_qtN15(m=w8@Y0(hKKv&RO5057>{plJaI}(?w>nFT9rUzA9?Zg-nIPC(MnOl%Z*X zXN+Q4F`*Ec9EO6)X#owwA#X&gSTw<>+9naT*ppy0kiw?1f69rWCy};QIj~>1tL8=V zuqZU7|70`o;Y~Hd!*@*{1A+IlLO7j%V7g#4g;8e8p+TM|nOBoz>0HaH+XWK5!1mjQ zJ@Qg4y+=&JbQLAxDi@w3qD5K5-Ay??j#nhg$W%J3Q-2>W{ODM^f|L3_HMT1`Dy^%T zqp?M7dg|t*UpP!rsZ%=Q&diubANJHq(R9GtrYzTV^EPMmMag}f7|Fr_FlHMG#B%5k z%!y%tIDc-H#@n|g>pp2&zM*?_!o<0nXwF!WBrV!Yb|T5F95+rPT+q1O8S$)P5KkxY z!)De%PAH*t-7s~>RJ@!$MnP#D0>x8(V!iP~bYlCaW!6bN*Q4{KeJ{7^r%~<%&H)(u z#pb$8OyWvEUE^+QwDAbva$;zV=CaK;)0}}L-OCx+*6hkyR(}MQ%Ae=x2@juy*s|G;Dt56y16)A^X;1_IXKsT zQnVM@N0$pqK~o9!hxM1KIicNbxm~b&e!LkdPREr z82AKz3E8;+`+l<$*EFy>B@Qo+DP{~TCi>sC82oD`c>=R*HnoghQ}E-BWuAC83v zc@cum^7eY_G+OLm{xc_87ysm^P!`^ zx6QOSrOTdu`>VO$*`b7fVtgkKL^2-rwrw?y{afE%Jo;`==yB5y?76U?cyx=i`H(1_ zYnoH0eQ48L&OY`=_$m~AfRmFzAv8hRQc})B0(JqL)(cJh=nb{tOpT}WtIk+pVjsu1 z?%~)3)U zA~)|as|!%8=ypT^s!oV51inKrK=OAwo6k!QT70%gq_Yyl^p!J5zjy@at+vnZDp;EG z!DhOmXIzC#7N5Idg{5bAWlsXrEMXWSP^!z(q#7qY$pGMro38OKf$cCzo?P@Pmry1g z$O*MeRu(8*bAectg;aIK5(A!KFdMOuK$$iLkW4|~taZ{BKx=SUc~yMoCSHcXUMl&M8m!Pn_IsFw zSm-VjqT%-g=Yon4caCC|y%M1(-Iz|kzX0F?{SHK}v>78;4#2sFF?gT>29Fr{%*CjC zSo}X4X{7%ijV^|f9v&LqyGMFBig8PbzG+7?_Zhe*9!O zJ{DG)j@SJ1j>@iu?(C-0CZ?*Lxb3@M$tg0s$K?f?1Rg$E*-ztIP(9W@Vm}Hb>)QC4TX;p`eMp!KNlJUK=xEv^!y;45lI_3 z!5`(x03^G4$9o{&SRD!U*`!3kDrg$$O%3jdL5<^Z`$Jd=RPT?elWSijxnh|p>{q$xfRzdkbJ=1!l52gm7~(XeEKJL z9DQ>M#I@vuTT$J->D_0~zQs5685SiP07ka zl)6XMIngB(2O{=O>WS1fv4^iwdR-BO_Gx(>#kd;FzOY|$1V~c?>`3|ZqPEE_1A&W9 zP1QeCUHUf9fZ|>%)In9NnSM_OHM4rFoVvg)kgu%Uv=Cl}U13y44X#sq&2a*J>|+;A zEZ?$3C%06sVAnnvjf_LT_Bf3 zCmu13S-&7X^-(G{YLeT3Y9!ZzQy?!VAxe98H#tdZ2N0`5_M{R)q{5re+K>QaJ?s7@ zmIyAKw3DV?ZP%x$G1T@-u^%7JRY%n^C9{JT<6EXMnx_qyA=$B6;9$uKBWGaWI`&Dx zz!osDO>O^(v7Z!;fv}hRE8Vn>VvRg_&UT z-1=GVxVz9@bd~#=*{?ir>u#Dm5!OkGz}j84T`Rq%l3NJf5ce!|@VYtnN&aD&uA5PWLSgjcQK3>1=+(-%Z zF@Kp(G_cm@(*Q7G&cNf*bF_U&aS8@|CS2-1->JM6)`hFtYcs8jNJ0dG6K0YMY|b`e zc2Czt^jIzYX6gM4E59~be~pb6;jqK#X_LAW74Ipv zrbQZoK+p>5d8I?_v%*3_Vno1Zk@WjATvFvP$f+wfz36Ml{^%0ZsG45Ybf_cX)Zl=G zg`|7?wqIX9_P()AFVAZ~Jp2AD^z684QEu0%mUSnV9|$+khu7ql4D(kI&oV2w$@)cR*%PL$n^a25 ztV6g6`^)oIMVf#@IF>vUHP<^&<-iHZD3v%A$odqo;dETeGAYmgl&XgP}#d z)N5c27#M-UgoW(m25wrqm2iV#U;r2+*KNyp5f1C57(8O&2PKB$H^YCQkw*Gv(CA7S z>0zVMy?dlbh8W3&=znTFL%)NMkimw5FUURts~%K7dhqjC&RkyeD`P>eucQ2fY5?G^ zr5TKZSLnq|wbN=?i;|vV{%k!((Mr^;_|;l4R&a~Vw!86aBWeP)P(k**rODqA2nG{o zk4F;A$$>3H8+IZ*t=LFCB{M5o(YF!;+JU6$(%{OvF>ha^q7;(du2ST_S;n{pr` z35moB%nJs8IRHDR=$cB@e^RIXJ4CL_CRkJB4PjcTs^z26xo0~^9oeyv5^r{bJ3%Q= z7yK5$laP>6!Y=~_KKd*F2|pO$OtVrWuXcAmM$cd4Y~HcyB{v=W!%H^()a=pUJ=?4v zmX-SEm!Ey;|3O!dkX@s0ayC^ry}}l-u5GjL95+n?WjO^0NCtYO6H6D+%}$o+$#6~- z)%c%_rT?!a65PeEj!5HKhb>LAm}GFDN(ZOw?P|s|>1NX;V_f^ZYCOXiCaQd;*1TL9 zbCaCjVL$8&8VB1!OgxOXiaX@QIc8;9gj1revN15P%J+%#PSdQ2sGpr0ip7On7G3(4 zEcA5B(uqmh5)|*l?Vjo4-)^ar6C6l_TMH}Cq=t?xt~zpC(rSvZXxcz+Bvf1av^)`R zN#IpZGL%gs75civ;jDEHEd(Svhr*P0)f@M;S5!3=F$aE7R3}BZrfF&{O@!x}PNP+< z0pWkm7jZJdmHe`cBjx`TN2V>=a^%Dy4uW4c`7f54{5Vd*exER_0F=Y2u&V>0to>lB zSmUh)PK9IN!%TX%0BLHcsrD@cTt4(2V}^mJ{ko1<956Lm1${GDFa^Q7`R8mw`Bu2u zX;?3gsE!MY1tubM0j{{QA>NdZr!sni#@MpJY?f4L5tSOy*Y``^ev=`4xOI#~!#WXw z(SU*NmCi+N7Zf;f_xkyKq3XB*V8VyM!00Q@n+Zoc6EPS$(-5 zq(_n%&xE=D`v*4YO9%;LoL$_$wLJ4rcYpjTGnZHV5^mn{pq`|5CSCHZ(`H+FbD;dt z3tRmr({NC>-~@?DJ4ugOa-mHTY=*>`VHJ=9do}ohn1WXcUC*U(ukJfa>GX!P-bjK>w(xbydi<>H zt-piFQyR8lf=sy+cwH3oRQn>_W$$wX(9IZlO@m1OG5`is2(7K`yMId!_XZ+~frqnC zkhb=bmG>M;X3mq%vz*z2TH+{`jD(E+XcGXes6i%PcdH4H`kk6gd#nY3I+SW@!r=X^ zu@M;i0nxx)B;1Y)j|kQg1dKN>qN0deoA*o{d3(j+Z<6~byo6*2 z=l<}?X8y>`>n}U{S}4x8$rdi>RGqU^Fli*+nrWxf+b_mbA-0G%d#{&cSDIO{6%yt^ zK}Rq)5gaK4Lw1UXg3flzS*~B@uRN2J7Z{xI<~B9m5o%Rx*7{w6R2^yiIcJ=80wORpz=^-zlblj| zF}2z4Wn$k`5K$N9xMeb?(p84+tZ3+d+~gdQ62)mBM$c>JQso4pev*)r5@A}Jh1(e( zCO)NHMSp z(SP&s&gqwF<>!xn^~WFceO+8qOheON*)7@mdj`Ov6Znod=GWj}X3wD;w4*kyq{Y3o zAHm5|0>H73wpY(x>>ObNpXZHnHrmN|j1wt;2GUV3b#bu1>j%nCxMQaJ6lF}wE#!0Y z5ZA9#0JAUMz%7J9*$;R6y4@?D-JAHErgO`V?swi%`W;ecq9IlnF1_d0@z-EvT8liH zfn{5g39txx!Qd~4+j{g&!X^JdvrQX65R^OozTf;2XaLyLwU&EpEq-FdyN;M#dC3_A zi{jF+g|g$zzQUiTdQ$d`)NZ_40kANesrssAgsAokBJIOe`1kBHx3+`n84){09JWru zK4%^Yea4@>){N}Ejdc(bI0S>C+otfOxMmhRc~CK~C?{zi;rbL!>$=l=LP zauyctXjQ}o%0A0}Yf3l*p=M=u!n9|{KU!J-p7z*>%gf(&C~R!+*J8+0=#q#!A}E<& z9IQmqOc4M!8h@-K(r)D|g~pS*(ifGho#x{K@1FD;vRJj>=qp2ummG!6oW`O9rj~E+ z6hC@w{+-)I_iV8o8IO360!hS@fKYwmRz$Bae5g1Plxu)bvg7~m%7PT}oG4F;ZdAE8 zYF>$Rxoo0?s$9`=Q2BDV_0)n%8j~NqZQ)gCnf4~pcE}E}+Gn=yv-k5NyhI18pUy$1#uji_I1vmvqIWP9d{@RC@ zDU(9-O>1UXv9x_lLN*B5fVb_N-l^W60WNilA{8#~wBJwrB+v{QVn_$26G+!3PP@w|37P4*@^j(@t5$D^b!-AXt8>O4#P~AWRx#H-S>gIP8cP`}G>IB%B<`;KjWp83OQYNO zNRMDKya~~N+aP~Z<>IT3UH=moecxC($)&Z@TVj%355|{z^Rxgig?@{cS3}S7aSk(e zF8Gz%=Al@pt+)f#qeSKlu}yyfn~Srr?p8Ozo5KueUZBG zyik$tbI!CnRG3}rKIUA}qO}til3_AuRe9RDgDz7fg9CDGt7z27wva-i_W5mG*X%Ra%go78Fd@0{_fmJU zlynBPmPOka4C9uI`8c4Wq}3qOVFZyy`l%Dhv`tq#EDGr~cFRI{Mbfj6L(^Au63J|b zuy#Nj?R%#oPoR`|5(w$_RngOJ(m}>47fRX#Q-z5kQgRV*{kI|k(^*Ao#SPo>jvTTubA!kzI0cMkqBZK4km?=0_7D!YkK_j7uujFmCju}!Lk7+P7>yYCOvHbg>@k@FBl7JE8XQr+^z<-Zqk`4&dhd>k*wr~ z_=YBfv7U|!PKb+%SvB#9HqCYyF-U-eC~qzp9AzKC<0&61wlNBL_Tp@EjEZg{a6P8y z92L$Y>raD1r2U>44wJ%jpArCE561$hkj<*4Z$CI%;ec{fD1(XB&^a{zlAY}r9VmTp zSLa32xNdyb?e#a3lDwHtZVb~e${&Fa^%i2Z>}&hs!_j#62Ufz5VC0mGL74k{Gugaw zFCik05}u##l)AbU{xigqC&-lnw&*PW=A>FB)-CSy`vsQuLOmUK`B6wT<{aj^! zb#{U*SPHK*^Xj>|_kW8y0_${yTf_fMN{UDmLQ6l6?GVs(RTKitIRK)Y7zt|j#(zIi zIh@F|7JlkBQTHc*Ss72ucj-FbMkP#;&S!MB|3su#jO^(tiW7gS#o{h!qv-8y{=ci0;@%gSeY1=;vkk?nnv1) zQjrwV(sCNDI?`!lj`}W4+mNQamS!7W%zo z`CW*+1R<@WB^ZbcoE?mwL#=~s<^Y@tQ)cbBf`0M+GWGZLc-Og$U4``Q zMB<$EA>m5<1)*AvP1q>@3!9|R;oY(kWmX+Qod-L@3`8&wmT$=3M!XfbIdRkhq+YvoP|^+oSW24t^QY2E6;;kn&Y8 z`^Wn6PM|a`UQ_5izG1?75!3`w6>d?hz6NCyU#298jSF~tcHHm_n(I%B>2H&>6iXY} zTrdTwi!!t4rNy07zaG!OZF20-Q`xy=>E-84{Km}E55s7*6aOv#YmTBN!Z5rZ&nV|1 z?Vj(ut+{lP!|)+xJfu`j1BLFC?<};=#ZA4;634_}&n^DMl>HkJDI{MThj41hIqJJ!ibr2`IZ?Q-4W~%^inSfURhmw3mSIm;nnYvB?iOS|cwG+FW-l0q?z|~I)hU8=* z3K7W$-3PxqG**JM?BrK8?!Bp>EthCo%SCNJg$t*&B&4ArE)8?+S5MhXBX|Gi0*Tps zA^;Nui({ahvQLE088`=Ew1I(71;B{L5DqkA;4>HhQ#gGXBaQUv)M)gN^l;Va_C3<0 zPz-y*Twmw-r>H5nhVhii$1zuq)BbdE>fYwT&82A~$NlP9P{l2U{|P@5b6h6OOgCX& z&pPpv#DZ?j47s1Vd1GTAU9S0+mHrBoW4yjZ(pDfj)%HV-h;$w62PTGHNgA_Ramy}F zV8~73b;L>K7FEc+9C@xs13af#2*%poId&;zKNq^tE1o;18@LLOOntoT90N?oqDy=3 zL069*4gZO}>lC`yKLFk!CU?nZvU>qwT)VNF%Qs8uV_;q27SP47+jjPEYri;_dL{fA zdr8AyUJz;U{bCRaNc-v8OToWkgVRJ|@MqO{ea)G7RogzmE2CnxlQ;uqsFtx$JL}or zlg<&#GATRms!9T7yA;PBY3%9*Wog@ZI0|^AW1ODw56JOk)2k_mT5g>EgG)_o3}-cn zMJHR_f`ow|3p_0mfN%#z@o{FJB98>UAevC+&(5?TpHpsC^eM&ITGU}v!IRk&4(Qky zPIKb-lyMVf6U56u?T!6D1~EGpUqEXjNEUg=(s*;T_EEZv#srP;?3=J4(j^g0ihj|y z%056G3FpAnuh!zrnkzrBB;1Lqw|gAaKhhkfoC+T4o;RKe6zk;`!%5Y+nrZje5Z_ei8uoH$KsGHqqcp*d_ zQP*|?R}rR-jJqshP`C{J`aP?+0l>anU|lRVeS0i4FjH!hBW;^kPBfOCy7_%z}yOYSuzjr6G0Xy}jhaMkGEJ<_9GTmppXAzTRLCD)`=y{vWt zo2|@|J5!j5aEJ+U{@8h7LH4OUYIAur-e6Y(2`SXtj9Szes6tI-Z{COufZeiJ zA_3E4065lBl-h3$2HkuG7x(~k(Fs$Xk{h{P`x#jGA) zg@_#A8rCOi%Opm9ZSw6|6-XYQ|G**PKY8j!&AH#LOusaq`|Yy*MCfEW^ZK4O_YSJ* z39l!VTl;sh`oA(KZL-xg$V-m`x+J4mHX8zP?PGR@e^iv9&AUXoE!^!BzoIRubFl6; zt1o|I?R{I9|L;oqi?!~BBH0ubZfJF$T)?$&sT%?Z-&sp2Y!RKf`q_H(O3wXlQ@>uE z|E12oi_ctiGQF$?~h} z)xS+;G`9Gn1rh8JZ77g)A&R%y+63zP32)S&6G1(s% zz0^1mZ&$c2;lTFinWcvC$3zqh8JdVuXuE)d=?nX%!Wdjpz@YZW!`@;~In*T>fyCOA zfSn{p=|qH!vN9z*IHlR^Cv7SFcz{Wi{Q{_=_E;ssE1ITwNxY#FN1NREsPmYb6|4s1CmKv2#1e=2Cy5GJYWo zRCtU@!g>adWLv?ITst_$s*|qXd$?%dJls!A(reuly5&fBfnoOE*SwD~SHW4sdk431 zrU3vW*1Yn^tv4|6X#f~;Lm&zl8V&uC9>yBozDF7faUl@qI+H7c z^dy6RvA2ht376s(!prIxG~)JTp^g{mv6Gkh%4;X>l3QU$?KxiEAJZlw2InvH_;$Ps z&;r0@w76?MQPzm;w+EMdshyffI_;-6zTy{htc|iwN-nsC*d(FC=OYSUKzlHXw!HAL;IKoqtgo&NhUt^2ytc8>&U*)gTaUGSqz50~Cc zQP3=*eO=R{Qhf04|O3%++o%m$! zQR6xWA%f)m`+B>}wbv1Y*6T&Kgi8A-UDZ+|S?oMxQmqu+qmVEVk@PMssud{#o(Lof zdfQTeE{tlzy7Iszfk!lZ?$;>KPvV~Ag=rk$u=(ATx%Jq)@Lt}#=`C}!zjwJa;i6zx^v9di4Z)!xql=r?~jsQe8lp&XrCZ9bLqQ3LYSz8lW4OPEtQ2ioozW?8Jje8WG^;B{2%y|L3~+{7dS;f<4eR^S^SXSoX`;=++a- zXiC>Li~ml%yZrJ(^}~2LouwDrFAe5g$$rCB!tf^C+dcm<6iG6#e_^WqW-=p!V-@#a zA@x%0>3E@ApqUV%Fq5J~k6^cjpN##ZyY#(dqN}WnQudqxdS@qxjN6lBgt$|!;W&7E zy|FM_wfs+Z;v=PeZItz>>NL?URW6Ah9LtCR5j~SClW^L=XhxRn`(%ry+e$@6N5=IT z<0#;Y!RK7mSJ+T$8No(yF{jj%3=a%tc+vX(<+t5{<6YCZN}*~53qDa ziM_OelbZO<-^*X#mb7PV)ih&b`mw|yP6=W6=4t_dFy=ZFo;|9iezAlSq;WYZfUl2 z#K)0~KUgPlZ-*ZpQ6Kb6_Qb@nZY%C#GoOyr)Wh55xSsNI`prd|xSJqh?9labRblv! z@8SV=0}H?^biEMlAUm})b@m+(9KdPpD9=*`-z^+1*Zsoh{dA(~-{I;)A)V~FbH|39 z!1#N!cl=U7E=de8IDQEc?q5%jd3t8q{}h`jV_@5uOlujpHD8WrZn^MjBk^`}6kv&M zIdXN?Nw+SAA0d)WvJ>*!SbY~5bhiIBsNcaA*L{4!nWH~oCwyqQf4yjX>>qHVbK1$& zlzV`lEA(sNw0h#?_}S&~Q({eW%lw<0<9~@Y*?nxkdolG@aKp;v zh^e^tQ;8ji7L3221ot&ww7vGhpz~~3Hr!~ZtGYoveq{VFH!Z$EIIYxO==%q?DK>-a zDOR_>*A>ZRe-iH{c^#qWMd4aMzRbJ5UHs=P#v>e7Dv~4fzdRx0@zPg2MTpn<%P;p; z9I91T2ZHX|u4+nCbkxeSo*0Au!n*+A)RYdVTc!(FxBa_Iy~lI|OGl;N1?}!*h3bpi zhuCC*1dA`Z&ku1I+osy&q=#DjDWj_=LqBcxRgv+C!4QJl z$(*WgPnMJchLMZ^S?W^uShC@Wc(G&D;QOUWUMPuC#Fgjzm4c8-3eVf-@tfBwSC{w0 ztE6n34j4^TF764G!P>;c#HCG^9_Fuw;eEk!l?QCA{zP^ACYvlR&iYM^T*q#`BW~Qv zRm`m>`-nTMVFo4ygL`Sz%|0A!pfhCP69E{F82D5=@jt>yBRv{48uTMQ>@~W5k2KPW z;<_M2!&e89gU#Fn%)Ahza`=>t5#p#!_ROt;}-B69)2AT9=?OhV+V z5O~HYxIT5cPC=N&RW1%C4#QQx>o}eYsT~aV{rVl7|9Z0j*cJaa&lEjfbW@zbONDge zK;f@*w-w4=zF@Ft>g!KFgRcR;U)NNW@Y~L9#1OkKTA2X?KgVSg)Z!V2}W1d958Zf8JM3+`m+gW3v!%v(Lu@!1#Zq?)EOKsHRRUq3pmvWdni$ z7St#?)a$3-vUBd;J?YkD47$&U0EoZilbsdr`{Q)G9IMNidg85Ejc{(*y{JT9W9(<>FfRB2v$ zWa2OGTYU9-qL~V(Ays+o1C6DZ*1|i}(!aBIz#-GIsces}{6Hvs&EoYU`*7_4Xg~j{ zbtXSFARLFKQprtIub_Ws~W4rP~!=$wURw&+wJA4rw|~6IAR+ zf?I*0PSuN-@rcU1H+|r&`ImZWM4tni3E0WVvU$XQF?p_83UIzsF~gILxPKvN$tnax z-|4FGDlt{o@#SJZG!$;*V=oM3+>10I#j5=QiZ?6lXzjmvT28J04j9ocXqH)|0cqJ^MRj!9joF1#$g$&p^FJGSTJ z3aPAMVWGo`I=){a8I4w~H4w6|Yu_ZyqKs*!aed2{<%Js=KkUe*XrWN6hL&TiVziy> z72ANpLRB`;%zF8yXX%&FQhtW4+!Hbm7q_;TmXCfMBM0D2HchUagijB^hyn@GNf{V% zyCWQFSi}DmMjGkSqtT!r>0z(Y?R%s%(eBIMMR=n2-SB$CN3gg+2y<@nCjenfTWf1=v{hhN_J|sw^KCncg}s_ zg2Ht(-5tlK-Xbz(@CiG`*l6d%>pWbG!r-Cx%U|rbo>uY?c+sX~S}*!^+jX# z4+1&;*7pYGf5D>;{hH{aX7NL{-m{E*4~6*6@A1MLalbD&|4+60=cKu5@imuByayZ& zmR{%;zt-(NM$|sMwEO~R>P@82+OQ_YQQLPH+twmTtUv!YKfGI3{*)n6j~<_@<<-`A zRx2Ma^e$gcHgAc_B#xvG{re0VgdcfFbXxAF)BE+N3o3uhX>GZO9N~U+;muERj#b8f zw-%pQUVYZF$vmsKEE zDMH7gg%r>*VNu2f31{jEudhrZsxm5#BHY5HadofjRQL+qUn~r9$FFTsTT-#d?hJ8pdAx+I}Xv>k2Pa zC9WWYC*4Fd6OX^{t{d5#i$f#iqUPxGdx9g?4b6FsK+3Zoe;LO9uU-!lXP=C9T-VLt zOn4e`k0Lx30HYBDpD6xE*ikmpNRK9sM*K*R1dVRrj|}bkxla)e>kFU%GU4g9Z|a?U zF8Bz>8ER1GzOgqE?p}U7;fZ?M)1N|kD($`X`^2YLFdjxa@iNH)czd{cET}H`8@}Ui zF3oh}4!8@lrudVDXvf`}U!E>bMt0(BHB*?XhxM{wpKTq+J==_1<6({Xm3|}5-j^7q zais0XUxBY|G6i1kuU33pSFWlL-$LEErEGgBq~PJ#bpsi~(xD1)^ac)IWtaU-_Fd)S zsB&cx+FTNc^P%ng3cBi=&`+mY{#}mt+U_x!$}{CK9m65qk!C*pTMEYm45nge87UKF zp$`lbcAa~OUzcskx~xsjguF6|=LLhmdh|3Sdl>^m)Sfcoigz{fndsa+3#k0lO7^6{ z7ngdy^L60{BJESq?ktfUH}L`n?w?c4-s#&lL0!Z*i1CZX@(ojOYRvt?g=VE7qWfg^ zd_4y#9Gv)YW9cXBwT~=^pP;1T+;4QJUyVVf&AH#HOutka@0OLfUu3bLBej(4nUXjE zw%*hpY~(<@mNV@xa`9IH;6NY0i!YsCd0w~pHE62adGhMiJL~hWkH-HDc~Lgb`6sGlA7mW?h+6e-pqy%SSIQVv2pWz%h9-3xMjI} zSyAZKskfVjH_6Gj14!&0h?AA4AE-C~X1XR&-BquBR^c;3_BdG*>XvD9`Nzs*ADnDG zqZe-lfQ68;E+!`IslF(En!FGfJ)m@T#a{y?uk~G}c)B_Er`V;1pQ+l1mVsNhEMokn z93zxy4W$@|iEy$frR~RG|Et;ZG_zC}pe)>o*Pdn@>AOz9p9uFRS-(IuLK8P-STqr7 zBI%Y5o?GE4IvHdHb_sP%ldQ{BL4bEVlVhS^6+Pb~Ey*;yU|5 zm}s2ssYj_Svt(&dnsE9UH&ROyk}}07Ol>Vy^zFx7QK=Mu7{;^sa+MAbtLaU8-YFDd z;D#txMVq6xE@VNAS~RLA zcU5!^MnT$vnyY*BDq*ex36B`~8!pC@9e^W^^k~v(#EE4ZWD0Q5gG|_S3 z)jSuM@1`lUpk?v!)W<_zc6GVo-2(~ZCG*pI$35a2zm#q|6#h&8*fWL0x~{2e>m0Mn z9$@xW=l^!gzrtpwL7xl^ELyv^i7$*01ZxI=i>Z#Uo~XYw^?U(7nf%+{01-oKW_B(ZAblI%vlT!4wUw7&HujtB>bAbSRQg!My$d_na04=Nq~TD3_CRi*e5?ensPqx1UDRzJJ6<7@VoTHigs#N#QyGn2}&pHviAEE|1qf zs25%xifBSaz%6)*pLAVR>=f750;oeNz4pOW3$pwPG4)(=44Yj*?0updL1(@^FIqe- zxCCq|6QUbaf_=kovR_Q>FwL6i0FZzp^^<@ivy}K=X*^98T@{pcw=aWL8BFO_$8(d2 z2EewQcKf#=YZGRLtgh$4>Y%tAbr@AnmWUGBg<>!CEl(=E%&}0t1SIuJrbm=xVp3Xs z)d01!=q?>MZ5u^Dr+zq@cC*INv${{u0?%o&7TSSI=Opdc5nmM|-KI+lmF?Z7<;Y#s z0x))=Qw|MRI1O=vQnv-fM3l>|4mcpYRDk;DgZULi(gpZ_i(YY}FtONObd^(Z z3rX7VrV-4!sW5R*6CbZraKe&T0D${x6gWP3Jm%M@OEXNeyK#$2ur#8VbUJAdh)r}7 z={UYW{RY`5yXKDKZqo6UeIv1JAwkpv+(e0w6WA$uIDVz)JE8A}4s&CX*0){YSOl>D ztQ@Uy8ZPLGY1v#3u3zyF6ymeAYB#+**&mvko0hxo!KQm1n|LfYMbBaWyy$<~Hxt<# zeML2Sd@8$IL;!Hx*-uQS!F^+3AZtT_g!VO4#$$3U`c@;GFfc7rdU7fF57!jgn`VGr zY`xTxJtbr7=_R#wtf^M#iIeUzX`lE_AhG-Um!0h#>+51!Hm=t@OH)7Fo_~L7>LrVF ze{{BeQrFYdFD38J`FBHZKpr&%z&+stMRVDA2xSdhYgHyl!{Y^knmOWP5N5mRHt5kJ z0ZvzpV&{2|GiziVwx3St9v%NJcI9F(VI{u6HkA{sgY3B6rguB>ltY+ltynD7T6oh; z{g0#|hLbL5mwsx~*q_Mctkv+7Y~tu6&5&%%hqBwvfvO9?a9E|AEB`@lY?2UzP7Ax2 z`MLpyGCnD%K?HERd=4#Z+CZ<_NhBS}M2NZ4_zbd<2 zLiCIWgIh`5@0_WoEZ}_haKH)?$$)YiPB|3)?15+3x6jyvorVUdwov;uOG>73f5pa7 zlfqA)9k?!XsW0eiO|=+csiH2#F6zg~v)b0O)mdD!OIP;u=NyJ3&g9gzmeTo@qvIM^ zBIs?RY;u)1PZ9QU727RNv6x-BYVG>9GDTIpBe~7dc4RsnTqchn5=(E}^fROm?+I6O z2F|trtJk0V#LF>;)v)b4t`Gg`&k}AB3_J|LXvDy$SN`7tW2BKD4H}L0ksdi3-M+u! z8aQ&%Nda%50qH#`?NcAwt-lN7L1~})=$>c1MXXl}{SpGe`Q}5@zVb&zKic!;U!{y~FbCjMi7Y2}4v~a-jCU`;4f@3v%3{*)xt}IHQ5#Cgv!i`8edX@%-nq^^Uf*-a&h5mls$au9wA5P$ddCW5 zH!tl^bUIU8mo2p3)Zbw{ppOMbbKeew;FT)>6$SpjS*Rb|s;yM17+xU09g!Zft=S+hp<{9gT#Fp z{Th;G0G#W1mW+X+O+pyD3g5Dsxw~zQ%v{8&gws4C%l#+o=C`JWo8!{IYmwwEN;eXs zO7vJETT8)p*fZU4TlH^Z;Q%{J2Ewf+%Qq|CZxQZ6I)oTD`2&Y+3zHqKa37oZmrZ~u zB%|eF$-?|EIx<}n!BqKU_$^!B{%Y?@jqod#=#qZpIpgjzNYD1Hcev%>%j@mUA3DGM z56V__75T+_;W-1NZsUh1qv~Cve2!>QY)7~|L>rI#9n-&a_T0-olO~)!+|jHHaLPC$ z+QkbU1+T(rLLZ#^?L%{Kt%~HUqWt~d{BrCb6~T0PhpPT{eeP8&)4wq@`?65Ru1O7) zXvD|lmS>q`MbT4~!8S6s9OXExf+Y{)b37C#=2%EzVb0KG%bpfdW8=DiR>yigsbmfZWz5#nWqk)v*( z>LTH5Cvr?jB|c@dVnt<6X~uOrEVwu_rlAx~eOBxf>Toi(*46XzHINt;ATmq>n#&Q5qgP7Z&% z|M7xcyP#^zPd(8IjHa)+j4;=S?!5n6fiyW{WA=@;byd>Wlt%igcPY|j&Z+ZxyZ_@2xEbj@RooN)p?z=Y_;j3>+PrGi()Zw%J9;DNh(F5^{J%>32Zj;;Jxa*g#3dDbTf7KmQw zh~KMuj^mdrj*-T=$4m{k)oS(cd{b|@x8?vG>bj?=0N}23+m_z{TJdkg>$|P>Y`gcClCuZ}4(fC} zC=2o8H6|(k#l|76+|o&SBt(`!=@VUC z4XW6nvF2J0~6e_m`pw;Y!~FQ%># zOMyKcu#>}9p@VhN=!O0t7Sa6to4|VeLUJGV9PL0V(Ilo zSTJ!zgp>A(XxhXsr>6?oG^VJ#TsuTU)PzQAxVj`_$XMd##rrBmM}!)mGHBdu`Ju06 zb}iA{SxuA%16!7s$qLIxA}y(I)b3C_4HRxqaaAUvU#JSFFBBKOGHJn4b_Ikw8l8Dz z`IcH@T)~PI40W2uFJs3<L zWoa%>4)jImYtdKPo2zU3ge2Xd+w>vAlV}Kl5qF^x1E0D0*D#tvjr1tdXrPbuh|=ix z{eT)q+<`VA8TdqaqBbOZKv53divjvRU|tdvZqUB?6MLTZ#(dXF>GuJP%|!{M+`I3s zdv^a4_CEQqL?Qrqn0^V*Toh=FDt}~m>$}+8SNID;02unkKrk@ji6R#vq6EUhCL)H- zePeIhz5MollfNyTGLgGyUnnx=dkL{m6uSs>k!J6`dv+iCZtRolv)Au==H+`a#+1`# zIxR%bz@b!UKk2cdkp+BGQZy|c0C@NnnKd)rwjq0&2M5L)uJ#&E99iFv2sAD**^?TQ zIB@-~eUtWJz)WFwRr<}w3@$6 z0|Pyvy=K^XI{}A3R-_H3@dN^hbnqt8d*@dsnCNnkX5s z;d+(Ok90|pj47#0vIQe{)B5uCEAwL4bw4|3{Y=070jx}Gy9TWq5{_AqmkfZ5eYJp1 zB!BmpET-FFU}?PEMT|jgW+OITsIuc6W`j-sP$}670OL-_9%5h#zT*B>s-a~YnzB)b zaRL0(JRisxy3{Cqfq3@tH&EVGLsjeyB1&Py30M|dP!|uM1e3fq8A8yUK|m{M92vdtqQLy;)3e< z+C3yn)1nK+!mD?SDlXzF(Pv>I6zLtJHYIw#&<){3!v8GBzHj;$squ!nw*#Ujk)AEO zWf30`#hK~1ug<-GM)bGXD~7|*n@(ruPdIbhCEGwFo3z9T>yc%clTs%(4N)=lNTmH2 zX1B@ZxK7V_jQ;SOkXCKBsdzUiNSfAn1DVpIEUN1 zP?eonxT>>A{aj+y<#2Yu=P>LVA8bzheWyT00X<;)M_lQpLic1PG;Nv}8~euo6mmCQ z%qa^2rSf(_n8x&%R{KeZVrd8mRhgMyT)jRu5izJ^da>!l(n0$yu`c_>hg39vIgWj= ztaaijPwTA5yiy#mq?#py1RlPnIY;`{u`d#K?RB@ND|Wq-5Z!sr^@ro*@sE4z6@(j< zKN@{-2zO7a5d#l2nn8{9=+S7PkMu~>=nj5T#2prNMEHOj2C6gEzUY&?{cpuMQKzZ& zq!od_ga`m8L?=ylQk}Fe=$8-_AEsZzTqn(PdeNQ%JPX&lN6_x>kDhr^lt4JFeU;x8 z#bY7@G-ue{z3?(ZG+bt$YdB^gcI}(`9pO}jD(pV;gC|Xs>s`O|tlS9e^>-%{foFoV_kna}pbQ^oCoCj_XQQRQ{M>Jl1RA>`e^r zTxT*IU+68hbd=yp#1q8ymZ^Ux=r*)$i$ewiRNc+D*N#1A$3>5^^YN^3d_=`GSUq~* z_}0zk!~>+5S3AbJvGRd(dQNTW2k)ABrEhA9=m(V<_l|w8*i?i3&HIQ`>3H_?pceQFCz#gd9 zf68ibRWaR48c>-&hlh#J@D<5w|8gPIhJOR#TQjX zG&}WMlt9w`^KU(G`VAPfb8nlO{w0j@#`n6tU4U*R)Q82)Q>I_HW$qP6rb#clb8oDR zxIg_X-MKgD@JP3}{R^`2-I+r^*MY_VcVQY=81GziB66)K79M2KP}lvAixHhj&oL?R z%(yiaE<>`=bS?sTGQojrhX>0B>?8y@rQOE{zxE@qLUJyNaEsF-lH^=9c$q11G4+a~ z=ZN?oG3APMi&^wVmv~C>U{`x)aniEI$YHsN6GZohu`QUE<87`NXt`-Z&3-sZV^>V6 zrrL3DN!d@&8sn5lE6rFZR2O^GwFXFrVg;AlSL(*z9LK z8Kfl1=JBeZGOloI&^;T^fz%v7kNV@9U_-c$?U0e8Zjm>@gxlgn= zr48={K9y)p#7m|ReBgTsPt=Hk2O7BDTb((Tcqr8gT5pCtf1bd$L4#J15yrt-k^fFk!9-RdNo%yN|u#RJjHkFfd|X{H5%1=%-xyMEt!6zIww& zkGrgsO_LEJ&MA0O@Ykl3+qFgbnxF4vGkBXQ8RNCTuweeN$O=zjFWobOU=}pn*Lw za@oxRa8egxDxl(Jv@Fur5+B4AFE)E>uFQK)Cmp=kMq_t$# z=yI*v07lzAfL|BSdCR?Xus4fwTkhT1LmKSe!Cq)NS*K-`<7>6|WI${+ykIgz-p2ziLxx zw66S|sBcqEp@VZLe}xj%!q1l71t+OCgdG@Hd+8r zTkK+S!9E?Gsi%u2r%590h_Na~t9ch|PNnkMq%_7?G9sQIE{;^joVdoZqp^-SOqKz< zAUr!b3aaMDrrcifUT!MX4hDBc;t*%=07WG$sb1hjlMKHx>ubI&elhwKo4LAcm(@ny zrtc=)arA{J^#Bia{7!v>21q<&;DH_uGpLb98V&K09+`S1-N9kq9A-`mJOkxvPO1|# zo;2}^$<9O%4Sk1|*SjzJ)NcRT1NsGh&oKM2@}h=y9DU*5-M>Wmb!v@%(K-O1hJFX4 zVZ&+IBqRm{`o$Iwvrn6b zVyTxT-N5lYzy8%NZv;3CX7bXbKXLWecS66)_}e<)cTed9lu4spQOr@$H)R;u0e$V$ zutd2e4t3Q}s}29oQo4E0|2XlPs8($0eb15bLm2C_k(mYtI&NEP$b>tQ5S2SWqEvUe z@ORiWa;5Q0_3B%d2-y7M$}g8iT9k2srx$Xge`M+<)xdg>4V`eGsqWCGH2%xJo?7+3 zMwVpmcS|zuPrU*=)A6b^4R6wQwwC;xe%a(Nhu+-I7}&)bPE+$Y{bsVeh9qnKD_4Ur zVP#s|Ta7Nm4cYK+#U9ey-gVi{V!9>s09za7-)2O;g!h+yMw*vQYl(-Gai((SK^h9n z1Vj<$=}ADCrIFR%m4ZG5ZY>qUb#BW$V5|o+DR!RSDSpadc%^b1z4`|i7hfY)G&S~n zorPBvMbZ@BHqlO`S(*GTO3QS-VJmZQsZYP9KKI&H;r4_(D|~?VJ`p@+de3L(etD

_&?(cVz}v6Ta(dDSn}S7|&KzO&>;rT?dF1ZO zb;2M&RnUWoK*Z{f+pXjyjIpre${Q{Pw2Cbw%TfD1B9L890}Odcm2fu31s3i@Ct zVdxa2QjpRVrEmGP3-T#LR{BC2^;?i(`IHKRq{xkV&DF1FJPo{e>en2rq%#-Ovw)>T zzl1$`@z0N^euw6uDU-=iP`_AV`xyAV7r@2Gma7SVp8nXU5WqEC+~`)3vJKl^51Qa5w062N$Dt_5q-E&ZH+WouJ?MYc>JRMlH9q`%%vz8w|&)BCzE}b!5!8v#k2? z)7{G~Y!Wl~6R=cgiR#>K_mD5s^`ctS(MvF-x@k%}@$eJVN`NOzt`qR{BSGKxL|WI= z4+mV{Oer`Zs8H2#)EjPPrIF1%M)K!6HG8#-nlMjZpe=g>xa((uJr9abH@dsBa2&AE z>$ywe;nfuEk_UONeMkymBCCAJ_Sl67xJQO@AWOhb!-=*-inPHFJ(^KRL{TumO4mqq zc2Kz%j~OX|olE;eEnyXFhu^?%SUXr?SQ8_&K~|#=<%9Ph{$D>T97lxV-~Gma!=?cZ z%&JV@F24$tE`ENJAk&|I^f4M5BY;6`U?YHmaxwOvci&GhesVDaSW{jGYtX<(0Bce* z*vQo4^In$X|6DlfVNLKUGHRYBW$?BAxUBLN3t)4jETz6unE$vxH(wxaRx}mHNN>6c zj7K)@Ya@WOdE_$mkd=%BLqi$L#4I1pP$q0i#+Y5J-_IfG3t9D>D}DDUr7^-LhvdHL z7p}7Ug)#zoY5zYydHHYB&}7vwOd^1@B#%`Vb4Z7hD}cvVzvbs0gQNidd{V#J!&nBc zVfoRQp{}qRj{QJ=9=Y36->~9vAs(ZC<;5Qtw_zN6mQ%G|-*#Nft~xe*5Wur;tx`QU z8*hF3$Vc9EMszcO%XV>xAp6L4Yu0rOC4)2p>`ucGA2Q=3Yh?#i8laix;+zQ zJy7hV5%WhuJ4M!`G5&4?0gN>-Z%$x3aNA09m($BikhgfywrDp)SyK2P}Y7a&sq`XUpDE_ z6g*xQFTTe?u8L^+@RL`TzxIykJk?-|z#S1#VQqyS66T?Y+SPIkCmtHMdNndXNL)78 zj=ZrYS`>ag!OG?B%dUVRB5L zA39CR6XVeTbkM-bu+ybvl1WM`;VBk`VT?S7lGMOMZ-r~6gkkcv4_)0PjFH}+=2H@# z_EictfXsWR^c8oCIK^p#e=zgK>|^Sb*6n>{GCbh|;Xr&9|Ltb4EPy?6j8 zn>2t~2!#ONaV{&rM(%ZEzqf0dP3`PwHztr378uoE{@v}9prkX`!%s}BADp=){ie-< zk~dC5rZ$i_)AUf7Y?Q|UWN{yN~G2wC+zq4b48b7dJRY%aO#_gYC`!=MwrQNMryo?QJ- zegL_#vO#cSlkBQrO-}<~r|S31|Ce~-42=Q-oLzO2>=C$D6z2)$Q{~!DT45o8Y1~_# z!5*m0ue4X)SlCwVT8_RV=Q7x{+!@DrEUWIg`)@r_4}~8&p8P5uVTV;Ojz6{dp?#PC zTxa1wZMYX1;KmhxC<0Ta)3skWt||@YQq*NLfh@;y5l>5LV3`#?vFK#|HAu>@k$XYE z1lo>mWcIdFxu`qok$&d#m`Ig>yZsaFdJqp-`L{b<&YKXx(%(qpe)5LT_ft z`tk51F)CMS;I30+cIo4wK>+iNfE(4fyz^{k=!=jb*PrXuOlKE2ioP?*=0L1UIK!X7 z3b^y2GimD&$1-SV0vV0YSVN+Z+-vC9z5DekJ|@3*OQj)SlAsz%xVuJH>|Z%q zw_EMn8!v9Z_3+}aFK)aQaogb$fPj4C97SN3PmNdpRq&t-l?L01LK^5~+U+P`BilHWn^$t z18+&e&a9*@8=9mH?)EDrR|zA7^_zhaz^PY3XBi}eQ!Ksd%*khu(+y7$*BAA)t@))O;<@MGH(wi8=^NUhb5WA~H$|LaV+;KlA0 z?-YtFu(lZph%w}-AJ@^t{I7?n2TAm8YN3l04S;?|j<)P|?ZmA_uR)Gw7AN+V07eWu zc$o`ZiDp#oHyK>h=g}XCr7^>aS^5*OvK|=f)NQV+btAP=AVCvx>mRn8x zIdoVpeFs;4L3YlN>x5DLuvfdP;l5aeky^WcwQ#QjybH@$AHXJuq@=G#31GQ5MNa_d z?COydZLW1gWbZ`!mFLW@`lu;rq0(iNvXra1eEGd~t9jVD5;`sVP~=5Dl51p%l~bpJ zp0@NYd-j$aXk2*dHT`Y9E%nh^p}eITB(`rkMKf0b`?1ru*wvvpeDK9L;rWT*`17Rl zL+|~!w5cbz;`o+#+#9peV>e1q11I-FQU;@eFL$W<_H|(uSc8_qGVeSPz1S4`jFbW; zj0P?!0vn7B&K0gpZ#sk3l$XI*ne0h^C?#d^bl)TlW2Bn=4=eZ5Xe6$(5*}l{#h9a! zjcj&}O*Up3CZD$?dsP80zwDt)Eg7mHPRR(Gf;d@*MlK(1P^j_|KucdJuYL#3n@eso zlShE8`khev0&|t@Pfu(~mhS%<@k;md^yKP8SeRV>7Nj~-dV@%v=+o*~)31RG)Grhy zoFbe5BxB(ks^4Vhxw++{1#njVLNZ_h<>yMGgO4{hiH){8o7wFob0w+IxIO@}?Q9iT{JQtuv zpcG#m5T$`T>Z_9TNXJy#@IE;4+XoRZ*MJ_#|C!ywa|L)8hr#HRTkD*c$L2^ zO7qZ9D-56;JjGo5aPKA1JS2Lll9mc3KcUOs6_{(6zy9d#V{YqE$Bwjyl%0^TwkoHx zuHMr#jG=+WbMg5XDFREUT(cCwY|vP}pR|pHOMgv`C-#}XcUGm(gOIP=Y{Gb zk|7SoDBIiTRV%K0@aVCcs5qkK$?~u3MDA;1@r1SZ*y68xQb|&ftoU)I7R{Wke1=W_ zvrDK=)6nd0GAg-=DD|THmU~f;RT5V=01b@DNq)-_G8+qiR9C7vNq1C_?xqf_K>A8a zNh|RZXpQM($aX!m^7M4XFLf2zliC zbDf&K+Qsd{b0{k{{^yq zt|;O6|Lj-j>!K-=6~mL4g-2c2Ak6>Q;{`OsCt<>=M;dNMWto})E}u|AHiq12Wd<5@ zBMf3_ddp9X(_1tqm%h322ay|L5Q8FT)o-r!9Yk&pgXWr?tooJM z>Hk|Fdh>^{ld~5K(Hc0n{997}<{on>pY5OsS#o1eSN)bxCW}cg8N@gZTKz&+04E0) zW5SjnqA>#vM94Y^L1%0+<)dXm)=JyE&_n}sNquXj5{O`*<+kNTqnLB$MKd^-n6bSh zm6@93)Q=sh#_}_@t6`PF@}0nu^{M^8wQX%wgs-Z;o*(;-VD4P?X~qJo1}py(Dt6Ut zQ$cPT@M|H5c#~GRiSIFzJ?Vf2PgK!7UR>L@FSDtjPVJzX6GK3b>Sx!}i`MlpoS2UM zZecBeyZ(N%&a4N9I+N`z$-SoCZD{oiB#gz6;?=sB4+^Lp@F-{JdbV~bL~SG zH;&bjrBEFlDT`$=Qzpv4ddTX|-VhYEyI`R{wqwQN?r2_&urGBwJ;x*B1ieTaSd)NS}ge~!dU-fge z!N_1%605m({E&F@A`L@H0X#`2<;lQD261xH`j*#bZYu zuFfy5)*R2XUC;IGIBr!AencEUaNwU%1g=I^FRnJi`8TSMbB#`%m|yJSfW9M-gh9S zJLh>I>&$u}>(m}?HTnoa*XgKd0YJ&Ht`m|1*ox69s3BSMWjQ_Rp38281i4Na<_IL4Gshr^bHD1#O=a?!g9p08q_0p5H|mW-9dKcvzrDt z%1rC#&B|*tty_e>X$g13JJ$&J5nnweVFYk@$oBaM=bNY6aVH3acGSTW#xV=8-+06% zC&%&!P{k-uHB=e7JeIcn%!_2tF4$Hsjn+*<~p5 zf8J#^whXyS_&TBF{|iaVD&cGKCSfCh4P*b0Hi(i+*a%=lff9x?tIJZby72-QQ!rhU zy_k9sI;HUiaG6~797)QIN|KFGz^K7Bdp$)q{zyTx!!^aycPdlll8Rg~c4_WsuyAty z|G*~6s^6^iO-(eEf=njo^F#dtwcq&nS5g}II#j=q8+PpS??}m{rY=7=HBh4ka8~_7 z`N+kXc}oT~{S8Yu{QAi^zKKSPu>%f8OJ9w?l-!A_hg!a{XKbhLh4Z%8rhG+Dt{iQz z>bMo#ZK(GER~)a3=Cx3smT$XN*Jr$KS+#m&tK~Q1%}*Wqy-HN`0y6q&tfX45^7YQ^KnHT5O8#3EYXsLZCs zVjkvFV^NRPe(_N_9iSnz5wac_$;nTOvtB%Cn&By4@Gde~9(?CD12;Q?sVJYEpf28GE21H^LxBikxJMvy==~97HoT)U>4U(22&z zNMB7-0H>tyL-&?C6%J(&*D^r*!snv;#X?d8PpEz&H{p>YWXX*=b@iJ&OO`>yvt$Em z^$SxGz}fgo%16t_x%vY5OI76L)g;^8`>G%J!_b0%TB2mX{C{D zxn>&8n5}_(nC+SkF>AY{+0|-HB1hsOO4O1RZvqfVf*^_jfyU8jG|-K{&${cqdS{+- z&FA5n@gg%LA|oU7y?Rw$U)A&UaQATch>VPkFaJC&&9VsClJ~jD7k;aaT7Uh-=c+;7 z6|I^-zinRb$5dmG@18$kp(@Tv6qi&MkdI2Nu-Y8_GAhEtq(p`zStVl;0Vbo`Bf$MP zKkxR>#XXB;k}xP~OtiX`NxF3tA1dxMyn4iF;b;k@7J@2QY0S_fAmq|-lRC#D>|wc`kIfVBpQD*<5nJHP=O!O(DJwp z_6xB9r`j)M9ZRF6PPq8$S)_JbT7aja__n0aIa`3I?0J-FI^0tMrW2D(WO9S3=kVBp zv0u`ohDEw!nVry|H%*5^bW+pqdHBIv$gNflbt@3dZpGKy265lWnN4{q8JNBywD5`L zKduFHu4qRg+;z;>44sa?$+|q{@dvC$DsLljU`kZr7g&-1jRE_WUsA;lAi$(F=t}YH zVFy(P>I-m>A<^yA8#G3FwH?KlJBdC=TSgQt94%2K%X@h?KM`7tk+ldgX{2yI8DKMw z(DH?gwox8M*Rzm4jF4Igla|9CR&hL_b7cDLR*lfBs(FVHIn0)nMKqXHq0AE^xn&_> z*O8KsVMWpi*=CfeeQ&Jd%)5tuy^BT9ZM8swQ_szRkTDQG!y?%;$dIW%xUJdp2 zFyH_Q?u|jHy2QqDVJg7W7>fEz0kTHec3cOZGLy65p3cZXL zl?HEWEm9@GfCH<%Cu71E59j&k?`P2>;LJQQ;XnN+!Vv$>NB$N0r2Qs&_v7y+RWK#0 zWxI%{zVtQHB#sdGzW+UBz~yg3;*(X(;~#vCR54nc4;ozDffct*c!mtkHeY~a@}KOo zNRCymhXZcHP;SKH!_B<^0RbLrmp|B0<5BWgA7!+|Nqfj=wEw*(fBqBAXIAQ4yk!aY zS$$z9)6OExe)mXyVed94X}=2Pz^MY9b&!mbI^p80kCNJUJ3B1{X+3k zQhOd{nhs|oz^RRSeh#?l^TZdQP@?O}YwnN?5VKWNvFsRJ86zN$F6MM9X`mCE zy6v6!&xZtNH778tzRd6xW`?1q8H9t3AQ1B7xPH)dZJoH0@y#P=o5mGP!wzaK;~I@w zp%1<8E~+;|13_IUm@`6q+q}qPUwU_2LiA11%wcKAyr4RXnlTeQ^#j1FO+=iDktM81 z3U*MB=BbcXgN&9y@j@6XXOaIBL^t8UyM?5{J|v|a>BZAXDaj4|PE?*+sK~0yDu>xr zaj)TZWMnpz^s0)#z`8;7O;j8kDicGAdSyg~dP2f&*oxHD7Df{X4t!<5ipYWW3vd4xc~H`;;2}?EWPG-dLr%V!rB|Q7mpm|& zb`bpHU;G^TpZV^8NjfUPz{8(t@HfBobze>@ovMzUvpurGb{HhZHHRUmEwa|oDn%&r zJ`IM0V8R6*SfQTvvWWZ4A-{(lMrAn1grUe}MkzhLa>GHv5ogu^fB+X^X#G@5 zPj2QEne8-`+tP_1-DeibXvUm`rf)rSnv~NVLD;HUj z)C{eD_;+~qh=PTqC5qJY-mDZ>$R6GOlxei6=1DvGn@?(eDrQ@i zRSxM@+_RD5rPoH}RPDYan!BEqdtBYuEE<8+u&$D=yN5lbOuk>5eU2~k8boi0kJ>L_ zgQUfw!@2H}KzEJSUA699zz}9D>JtkL+iRPsFAp5_m&V`_Mx8Kc&9VRrlu zpb7dKtg<7F0gIB&{kh~iF}uCI52d*9eC_IM&K1I^Boum7Xw^At zh`8BqFLL(|EDjN$W;xf94iR@4Lsy?z`yDd*9M;5c(6~N-=4%Vw9$3mfeH1j|nQJh7 z{+l2F`N9Gm6W`b6win_aCS`w27)sBu+=#`8E7w0T!~_CNYtnp5Pi5v5nQcL4xKWeN zN(Fwi)OUzU=Q-(QvUrQAFC2XP9X$p<@tyyQbQBf)<#eBP;L+7{NS*Ug52?!nrFysW5L>7sfV2|eQ)ZAUOe)jDl+`L zj(#}7{Em5<9uzc|NrVdOvPdu%0hT%b$^SiAT`d}#p}y}|VGw?%mSWQ0S3t{VgUFJo z!yd6SD^OqR`yW)^ZPsVB1ZGmG(jMwnfEcw=5XIFEwytArvPc1c8KhRF7Q&?EuzyvU zD#sC-G&0wU=+#!eB^;O+4oF!9@-1_NTk=V)pcco0704%6jBApQ!3IeSLJw-7nzx8o z2kRfcBAok-3q*jUUg%uvYwPM7-A3LU2&ro1!}^J16w*W6pL;P_xz~ObOJ^PW;|7t& zY+0Sw3SZMMKL3&-bRxZ`&^w`YU^;B~Rg*#%JJHAuUvB1B^ySGhIB+w1OR}Sx9r;++ z8_^Fiab~DK`yiRvU@7^Xul#ppifAzEE5GKezu$KHohX4X2rt-?LWxt~(8&`xxsk%C z0|WCbqQOJl3J8$;N6{X zzo5?bWT0T5iV|}!&5->2VpfZP+lX%gmGqu zz*RKUm%X6NsM?EjPt~`6pt1Dnb@KwYx!^5tS{KR8d3UVa&(f_a6_n;N#NRMyy%H>K zn-^G=Mf}^P7p--|s`}z9N}|7BIaw^x3FcaZpB&~dhQ_4wHi8vdg$yNwZ+=eFYn))T z1X2rOv^-f7=VeB{;h8C^z%LopS7oAiJ1b<%G$txfEmUMxWtGF8RB>me39zinWuQU5 zd%R&^A_H~zXcYKOp)&Y0!W_@|XROUJ6p|K!yNnd(J10G(LHwCKh3Z?Nm$vVxbNcex z#0XD{darlcRKkYP$O!UtS~q2R6?V*gFJ^mWm`k#lsVm@s=L zJ1ks!19Rq(&pwP;aERWwK#y(Bz+>A?1Rav*Q+mX#&P#V#go1;9;+sE1dS?5dlFo#o z{>PFv&q=w-0>5wo?RU~UH_2H0Rj70QL<8l|xget>W_3Fxz_YdAtU;m3>M^PPNU>zX zBlZi$J0I$~$cjU&j783K&jdI|Jp+H~i%+P_N_G7Q2r%gp(;%&AW}6_A5grl_i-dm( z;MlsT8Cp%3KZCULj<;1bvEb=8SI6?wR`b^y1c3#Y=3(fVCbDek;#o0$X)bgQ1wW!iv0Vbu8aHSPi)H}T+dd<>Ettwuq zC{dMF4%1etdkWbjjgX7E-cqURG?LfE{;k%gsRGgBTC+nFyB2MimtTWs4Mll zhPC;=y0J}cnLutRq=f=0L0$QS&OD%qeaps7Sp21X_q+7fZfLw1F22utsViS-f&ks+ zKMR&u4RrVNYp=9?m*b{`vC>07_KS9sCQ(6P9JoVVwhtOiiv4bCZ89-zh^zTZfH$M` zFJj<;S4qS;J+^#a`s*h z`)0!GqKHf?o3O8ebA^#!$2lirU-_(b<-wU@WZ3^8QC3QqUMKlh^MJPldf zo7!_}`-RkjQb$Q8b@fzI`;=B0F{J<(A$0=9VXCQ$8}_1E(S`09rmdS?;Tv2o3qqDAfhpNc zcb+@)g#4@`*XW_+iEc;VLGUoT_+8ME0AmX#dB9ys`_bJVkqh*|R}C2@Rsu{_G_zjX zTb zOj-^lsbrw1Xy9ow?@-k(q!XC1Q=TPKHt*(Ze+Phn4uD2 zP!5c!pZfND$s|!444Ckc{XY8md*x~w^cqDd4IZn$G5IIG66a*~%WyHtxlOFWJny{a z1HxKu;mp$(wn<>nugdW4kjGqpFx&27R^aL~~q_FRAq&P*{nzv5&KG`7#7 z700$O!6&xwXv>HJmwFBFyN5-qdv``UPN1$jjfFZY`K5_4+I4Sn)qb5-(A1`}N+zNg1g4NY8D+M8WCm9%sTtzDNA6*U7KEBBiDJ zRP&y`=b1gw7fTqZN|03!`%%RtN#j{&Alu}U(_px&?jGfMZdj|1KZgcWqTUzXN5kW3W^OjaimnB} z;@$nz#vAReh8?!McYe!w{v;1ZhHv_99+U{M5WF5_1%co0thT%k4_>1WE2Q+ zGxT|g^5A8$>3iKEYS)LybtlxiTCj2Nw^$rvi<*d7fJtFggHhjK|KdL*^OKMNi}UY% z@ki$VHhGY%Wz*}fpWy^Wno9ArTue@HF+<(TQ(gi!E5%Kz!7#K33R2vJO9X_uC>krk zisF+maxlac?>5QUAyQ;`Z$2svV<(eE=|PK+sD>CXz;Taig@VLujWSU`MaUW}IZ#%c zm}KJ_GIRBXNvAq?+Y55@sW0q>{T7+?q<7*(Ok}@mCI!F!zsRQLqlQzA?X95wCi~1M zb;RPU50Tp2kN~HOW&Vh%-NvM@o4?9fm}=@gi<3I5RN|>}?L05^R&*td2G{XN`9^5F zN+3&!!E+7kyJ z3KV0%R3afG0^D0M36@R@(ON7J0k)$KQyP~ojPr3Oi7Zs{-WYZQeuV|Guln{Yn`Mw% zRlHDZtJoONKIm6h2wR+{$6Ay6<*e|K#KYaPWC4K)t{3oP8 z(AVI6cIOunpQc7Bey)ot(cA9E3_7r4ViUf3-xm!tG2yfCa7p3J3-U;V;ts6HpMTCV zkWYTMP_E|`6K30QmauTa5qAYRYlTT?p!%6~t>UQur)TeG7^B|vd=scI+_K+MN>6Xn z`*9*t?H5u7I60HC4m|z#=J#-R<$pS}_TQ0F4B0QF3UJ){K9jXA2rwxQj8)1^npmni zXZ4VSTCpI-`)8`D^DIvBiN&*$7t;i(;|EP5SZ@8kmF}zfFwrYr{#LMwDkIPQVa0|pzkd9)T(Bd(ro4Lg zThY6qi3wMN#Wm|C1~sEEe@+ej#vp{GK^HSMwGbvPhpeisa@dn9`4`;{ zX{gF9a%yv`V7J!s>wN+472=}NA_u!nBYIUC^lEGF5&19@{_^%cnqS${DmCZg#rdb> z25@-ojHS1w3Wr9+pLdKM3|ZGZZ?sm9bl=cIBvkq=F=6DB*Gl}r>xO<{F1g(`KP2+o zbpy`}8@b-}(3d{@tloCFsz1pj6KgQf zN4EmP5kn>%+iwy~cv1&eb1bA__nThxg59MIo)i?D_{|tfvC9D z2kq6Xp?%nN*SP+6ye-GT33N+Jul$N0gUfm;!-g(Q-Lk*7@)n=iL(<&Z~&T zoWHbbUcg zrq6EG2w7EGUT+3YXXVf4&poOMC(xa)uzXEa+vhlJ zUBAhTQC{E@S?>6)w%-lHz?JWzCPE!_f`CV?ANuXU=OF~60?cvm(n=zB(CKLF6b?sa zpUr!pC6#9Y%vN&=1rNOudQr1U;mEU(I`S8of>?kRmo)daU-=a>cV=jCz+e9Zz#v7x zFgfSF_$*hPtlr|?!Bj`PJ$wIo(uuesG#IjWNInyoaQ{QXsR9h)Z~x!K-~4}I!sPQQ zG1bw#^7^u$CJd!FKY!4Py6t@nbdvnur-aE(+CQt1&V)&aE`d^Cn3esOnDeam*uMSB z(X1w1kR0_rv+;4#y`#jvS)6I?SCQkuL-$eY>M=u&i-7%-f^y&?`-M~iP9-_FiDr^q zaV)7l#{x_Qm~s=Y2oeM=J)DL+?S+$NHV7f+# zU$PWd0{Pimq4~OBK>)n9I~-sP{~_L&cy{}C>}QD3v_$kph* z!$`)r5C4TrbW0PiXcGQKfZO_p5gO_%vih*b5V8bGBSmXTAqoP^cc?}YU|9u`21}4h zJE*pe4f2EZS^}$+st%R?5&<3w|Bh0ku$PHMSHz$7jZx*y0bgQ~jH@@uWYFtvkm7}k ztg5VXxNcSPf;^BUaX~7jYg4Lf-l0Hv>I1+W`TbC^Bx$y(aBn8t)LVCHMkf?qUO}R> zosCDrqo1UFh^Mdq^hdoud#03*PxMFwBRf#Z(>gZdP(8-!i?N)Q>6 zOxB~XiAI1)9gVYvwx+ezFDE6bX;%H&2d_T(ijri-rG7qDg9H8&C=H&-fg$JYmwLV( z%V>vkCq`t2sY_@u+z=)_x;F|^)P(f~k}Q-1laZg5{YLUcJwWM6Lb-!v?>P7-Tx7T+ z-AVy2($_>}4Ls>US^bn?(xFRmGpR4^Mc{Xa(<{x5YrpZ{OYLVO`-Rj_Ajg4IiDxw> z`2t+reoK?JLsH4w>H{Ufsie+)dI5%V6Xr>kSUL7f`1i0^74o{Duu)j0B;eXv*nu$I z1xxQ3n1~EyY3*xUx^5VHRbBxHZf(B4<1apU_}_ll+E0ID<+mPR{|QsmUi6RMEmmKt zo!@evMNm6N!wgM3tj@Vd8|I}Q^NJNZzG%~1gy1hhZ&Asryk(w!%e6w=ls^tMV7al- zqAQ8FK!aR2ChCy?64fEA3<_|sHUWDmHDSFWqEV@VZk1@T@oOaNsfcHJ?y zSWH9qFhXh}Oj-_ERaxb*A60P?haG7yIStBG)!ie3=;E=dp9DriNz)J_lxjW*Y`x7%+()-}Qptd!ZEwaBROoC!|%~T=nF`a8!UT z!7AKpHm`l5ulIa|6c)o;Teuyq_dCPHgh>?$aFa!`kSf56Aq@`r8=;5>PjtFVJ?rL> z)wz5aM`UR*lrZ5NLW3b|w~Qs#gp==r&WZrTt^oJR4+xi@Busjo>;ow>%nJe8Uz!D_ z_rTcApLx&nEzsOdN>+DSjGgzS9TsQo(9<(>^@T~NJ;NQCs=iRrerGt7nR7$fZ~hKE zyY&Ag{rE$_M;bG|{W54=SloeGi*$No`vnAe$bR955a6@l^BL`#3uF$g{X+W8uZIBZ z{1N};N|$R~O*`TnPACk@L(K^Y(*{KOXjH=LmbqpJ6)SKSyb~8HPZF(- z1X$2u5TadiVVq%Bj8DXt`O=}?-BnhQ&1is34hpa=SM>W-sf6}2l>$8TZ@`LC`&gnJ zI6}5$TvEujU)gem)IylF9Ap)HX7G*B3R#s#RyoYLiV9xW2!ua6xdgPzBBu&+YGqZu zdyJQRe7!>)SiJ!_CQX3lD$0IgM1aG3`)zjju3+t2Nq}4PKV2oc22x zcie{S``y4(zlvlCcA}ipr~?xpMw`huWqa91Q8)DX&C<|~@~yyc-uE0CK!8b=11qZ0 zV-qUc66w0=G^mewKKkTv5~aax_y6VRKF4h;XmFMTPkOFXq@H^f6LqK_$?Ub94sT)& zhKY7p3>uu2-c(Xec+`OvZ*>!94_v3PaOs|hx}8{nht?lU>O?2)qy(ws&YT&l?=%yh z;r>ju-&FOT;h_7&L9^cyJ5bp#Bn5a<`^_Sr=7-jog45RF|Cgy*kFEc89YqNBH|L(+u`U>)WoWwXFKk-p8O{lu z9rLmw1oIY8RS$!PVI12MSdN$9N&xH4z18J@fK7239DzJ2BQXwX^xk!5{H2=_|ccKoK} zU2{YKqJ78V){A~1%>k#?t+~zCl@1Z$P)@QF{c>?+v`R{&_m#tDY7y%}Q1E|4jYvsPk?XZLf!;NCX1$S4@{>fp;gtHu2am$2nI1>hX zE-Jt@C7p=WnU&~gQc}mAIpx%M=5w3j{!CS0IJ5P0q&GBY-~F$&SGLKdvZwaTJwB`Z zD7IgPa^P9nFECY7MG*&{*>;TxFhO9_{Uo{VW69d^nF#PiGno}R0=yUV;i;1UiaOW9 zp|C=2F)#=^nIYG|@@>y{Xc+R_n|)IYUB6ljJ)*v$ri;M*mcR0_b?IWTbkbP+%F=H= ze(k5$!}%9he)-|Gzh?{I(EP6-`c1Bw?`S@LuJ)B>_jaNA+vckJom+gb>Q}EgFXO~CQl>`=*f05v5B=`0m7sW`BC9H;oP8B(UP@J;5>;8{kW;1L zo|Q!R9Y@^|sxp=-kKXF{^*tOTJ_EBAUueNI^{cEeD zRt;KO_o^21qLb~q8#`W`@Gm1O0ag$M#?lG@B9nd)%0)`$#6p6@2r$9nc$<>;i`k;S zDgulElM*MUgiH)=!Z7TF0rp!ntcoGO4Zi7jp1J!uYO&wV$bN_33*Csv)3mF<`m36z z{ojA?bKm%@uWk=^9>dH@M=5xQ%zD-srC^7^X-my@Z099R_(suS7`w;D?w>4T4bB(f zm|G@%@J%?`&v*e&wl2cxJSOd-G^0cB1x3_%A7(P!y_#yjH-Y-XbqxH@kRkg81o#u( zpVeO8B0tKc0z7)e<~#7L4!;}Dej#hyl>kpd(VI1Y!3gVH)@xONX~(=mIna_+ z-paXLA7CCWwE~s?d1?a_!qGx0!%)t<-a7gLyU#;ioddLontX#w>Ckt zQ02pZW{6~AoR=9D0cLJ9QeT*~9I~pi%3(jNpjWGIxObF%vb!TxaTYnXIaQEeJJ-3* zH?~6gk$CBEpysn{ifKdwoYEmiO4?_)!NtuVsEKadT|VqwY_?9$SKq)?H`}-IXw!AK z9XQWvwc~o@-a~)TS^Z&43%i<_6Rn!)2;K8FeRCUub^Xvcf@as<_WaJa*Won-eAkbn zwSf0xykt62iK81Vl5Gc`sp~CYZXE*L3RH&qOVCaHw)gr$4UH>ELC|M2+IVaDo=Zk!DI)u1S zGukaV6H9UvYjFPVii!8zq+r4Y9awS8g!k^F!jQic@_SCMnvZXf}UH{|Te-~9Mp|1+6=K<6*QB&4I#5Z9G)VE=_T4%zjpRSN^p zHcb4`3p~rPO`&gD$Cliyfo^Gf#nL>%v0tr!>(Fo9ckSd&(!@R5s&l9bA_x26@MH)nKElI~cVyBHkr0IlA)jPIjzotrGWP)F&Git4L(a^4-+rWy5qv%jFy*LjL*;HzVX5ee0DhC;F|v z^2(4SPH(@dg^*PaW2)FoQ4lzZEYD!(RWMMi7L;(3LFh=c$*Ik$g55)IWb?I}w;TvB zS+3qn5FbBD`o|Uay4!vT)qqTr=Qe-zzQsT8Y=6)eu3!Y>w4w2ad%DH}6Y$6iD#Ew5 zz&ZTM?)vvueWNDYrrv$lKYF)uwG-OUhszI|Z#LGhc)sfgUJ&}*UYm`QS{w;2_DhQK zB56F3$set(gl4_lxw_+Z>SletyQO|Vi3T_@DS3smUr)PfAv?jgQ3Atq)#+BXI4X$&|o-#CY-Zp#uD$k z;;7#Q#)Qci1qsal;%M0CP1pxgW%yu*g;_U6fGH)tQOMuI8KMHeAAjW6NUtL^ymt<) z`WC!TKk?K*AXTI~@J(*Nax4B%q|=kN!?PTC>_IrxVOIMg`-Ouqz?s`}>{m$PfD3Ty z{P$CULGORQuA*_-s;`*Fyk?%P)GN9%XE-&>t{4?VUaCvobgVh~Hc5Fg9SEHZT}w)* zFuJwFo<=Ols%YN%L;rDO?dMKw%_Y%UUHbhU;~JfVQdYD9ld&}1Pq)LW9avq_G&RfD zyhcC#OPBls*Y2e9Hi8vN>4y~P%?O?NL$mlKsRFU2mKDjU2(TixLuNt=s%-i5JJtpA z6poNns8R*_kedX8crR+Lq*uXIi6s)%^W`r>4M7j16faa{Rb`dKKovVGA$tQ=39`ze zTonx0#>?DUkpMHXEaJAK1w{hcP;ZnAx{Cfl}a za#NG*RFiER(`4JWZB4dys`;PK_jf(6yXW@%?AP9Ful3wT@dN466l!aRi+k93R=l;u zbdH=bPzGr`2!Fm5yeK&rVx1}bOjuV=crd@)SYL)Yn-~HI8Z@yK&01D*QJ|-EP|oEG ze^6%&SXDP^X>ZLwccBh%+^tJ^c+C=uU5`pTDhH65vLW5wKS71aFtXYhZU7CN$l)&} zyCbI^K%`H0x0#w`7is@iS-oWVZ?flnJje6CnFBLd@AxZ8k(?)&;Ec&N1YKA$E@X_H)_S!`AlGV>Ie%0EA$ ztKhcQBraNKJ0kJGX~2^3K@NMl<~z0k&GtB)>fdYs1LaWu`?ZbRWj?*W)9!L%oWa3X zu^UOmnldemzKTflD&pK+IRRf#@;Ydu@27t?K+PR=A-0+dI0Jj)>SJun-mDQG4~J11 z9L#sCjBl-@aa4T>&%00J3#ek|?g}u$OI>mc(}P~Ai{1m2*NkJ5I|Pdf46qwu-et60 zj^5h3(Cdyn>A$XawCvZzdTVlrFs2CtClQq+mz)yL#d7^vac=<*$e|PK>}v1Z*IxWT z4+E``R6?mfso4Ad7?7!5kaLD=lJ8u4#9@o0lhZ}8w|dogT^+);4`CbnWO_O;Vyz$B zc9-gm(x1IMz&zo9O~YqsA~-C>m{(zwD?2yZN?CR}=wlAXPqD=8H8-Pcx^^x66-K+T z98edOjka3tvmXJxPA2EDUZm^IP3V|SvEBUVdUi`IVsRlV(~(>Kkrohf)L*=dmocq) zY>V=FgM^uFTfiLuo0O~#axJ}21H0j{9?pf!7@J;)4 zJW2oSQDS-Z=a2nAU|w3OS$CW`&r7PewDijmUAJ`|8(ERaiK`tCN4_Zb3zs;$MA)C8 z&Y8Rh^*+go8@HD53DAD}S8huaI|u=Pzfp@5(r6Fz+fY?YG3(q(t{_yz_Jq@yIt8bG z#D^|tx40~MC3G6devSdetaNgcffUA?qjwgZOR#LV`|SvjsScRT1uN;PS665>)Uw=K z(Www2?_|HV!y%Fw1Tg2qKX077QiHHrgTWsL*p3K?3>}!@0gjp3-TpCgn@?Yi#Y6_g zo~DiKUzGtMQ#IEP7E!fP6nQBu(KNaw3!fvDp(cE|@AkIOl50yf)B~5LA~)>fHH;;V z6G4X?*Y!SgN8kRab%(6&=6g*Ey=HPhlb;VcsyKu#7wVgbWNuTTxUFDy{|T|%p2IPp zLLr&joDYh$ZqzmwISvs&t~da6|7{VXAgx*~)7%fBhtejn!?KdTUDcwT3&6zXsF{oyoTp zNAi#Y>+&HOlVPFnf~*rG`uHYt=GB#l*bm&uSixU^D2~dt+9i5>1`R+SoOF?!r z0p_T&2o+wW-{jKS6dD+ZU4js9a<8Heez>}S8YlG|RXDlK`h8sj)2FiUG@9(`K(JMzF+g2j#G27ixamu6!VaG`icXh0RqT1dH2dnbXN|6c_Pi zH{u_m--#m*TkRqZ)?(2N`S3>s$Nshb=gmh{ z9)*(@ud3mt-Xwt5cx2Tf_AYuZ7)-(Qr0W>1!sxOF`u82G|F_wQl-pQwoND{RX%Ef zhGWK!j+&wl(8znH8^9PvBo$!YdixqSL|W$bnGgC_4jz8$c3hs1bU@TOxh}2~qFaw; zTjk}dPkq2ZdP_wU_}oXnzYDp(KkE5KKzqi^xrVe!2Q;|5veFrRx(l)N6ussGvReu{ zA}kfJL@g$kC6^8`7D@*IXXMtqrK%dEssOsXjhb4P*@ji*rQcM_?%fDRy z>LGqVA3kl==ZXs7;6wR4}LK#z^^sS z46?+%xFx;dG0{Sc+KR}UYOWc4j9OE<`!6=i(QopHL_xaKAt2v0R>(T&KBUrc({%qpDhRRZG3G{NLVo(jP+Jo$HrG(x;~SYz zQ>=b5f=?5VAVa09@+=&twA!j!rY(a;M(&lj0_9zg?Mx)7v~vZX2ZzBGy>8pe?2~Sr zaWrW86e0hE49)W|xzi`;bmW$Es3eXmo6N2$(e=jp#Bekkc5ux&yaGph*bSP_nk-q5 z9}*=1ZfI*2J4>%<(s`n+A@pYEo|Q9-SK%(yB$FO@m23ZZ&-@DEBCvJ(8~H!#@jwt; zX=ir5w}@`#NfU9gC%Hw6xR$0CU$KT{2MHh2*dI{`T;i|v4+3&k;84b$Q+zkXGk6h0 zeX998L0vMZJf(~jb9(Dipzg9>D-eRwQqpP8gah4&m0+NT>w@v|YVO^B59nhVL8 zrM|Lm4mnXLW&8K0k zlthFs3!p@R}u^GMwwmU>Hl=QXQs~Fc2 z^tRce^hrxdsM@%^;!Anf@%(u_+b^OC!&{H~`FA8Zgt-y|uKLZ@!7qvK)?GwFj&m|B zdUE(f0#bjRJuJ9z7r!xiDgZ319%z57U|1q=@`Q?7WsQpM0i2AnyQE;aAZm`M*0)$3 zNB3(b$@(5@)=Yi<-&>cIDsF}2!9!2w;UaOw@Iw=9-Ov>TTes>1--!Y{WHT%j3iB4Z z%o~&0!M@_h z9!xKrmqb5c3UF11)HJnh8|%K@tv!>y6FRc!r+C8|i zpPIcq`;1$foGaYwPO%=AUK-psxETd<9Qr2&fN8CDZ*Pp+s0EsymC}pIKHy7R-)mZa ze9!Z&SwZ!ALLx^cDWk7!b8#b1|7T&chz4FmmT{Qok{vdWb+PA0OwYu-*^-!*Zcu=| zFQ@*YguYJ&FVV$0uTR7_xP12n6SGR`;roAMjW7=51TtV7{9T~?ReZ~f4wRd|{-%u= zc>`wNK;rBc#Ek72FT@vh`|zA34?ZFBK?xRjlli}h#K&@MOcA&LEeUSR$pKMw=q9Te zr(aUZ_qr#&>&L5=L2vSEB2XH8d{>U z{5pd#iqtV}&3+}J*|y~Kpuyodq2>o^Y{cLMB1Iqfzve9j`qd@INabh(GvF0U_xbj{ z{&ljL!oi({Ofj#&Txm_CvR>xmV5oS zC4X5(vU7QNmhN~3<0z19{2BehouNU712+tORhCLP3rn)S894@OMdgyodPN=>nYu>C z`xj302 zQZeqzz_`CSpKo70$#_9GPBt~w349G7%Cb)IiDyKd2fdP4KuKTp$;f?iv;?lCj18w# zg6a6l7@^gtic!APfz@dzVNi%Z6me3IssY}e0;v?eb}N%va4*GfZ&U#?yp!)S4ov!a z^Wix8j1$wzbblR|!RU3R(fp;j_bPkiH#9$T1#u5#lHV6+?T;zy{-X;SB`YFc6(`a9iK^jo$qm=Pf(D24*Z@|$i!d760`9OBH>4{>Oe zEgx3(w&=lf?%}jaKf-$A3DmUqSYs@_vS}e$S`uRV2a0MsBP&8>n8Eg%{~Ptdma9OQ zC)%=KMbueaR`CWVXpD#Q)Mx74Y5w#Nk+)LweLxEYX;d4+E*#fgUUEf_hfnLFoBEO| zH)YdwibnTr9^FchxUjivzM>ZKvxz*hE<3$y>K+We&|hINp62Jsr}O>BiNhDwtoMQn zz7EH83}H#|gi9+&0wZ0Q9i1olQ0=Y6)dHe2vv>`IK8Gc4K`iX1g-zYKi&Nv?EfG!o zzemf-?(UG41g`bN?4L8)7z%uS>Z7{vDm*i%*@46g!3mha;PUGDK9FZWc z=KlJlug(Jx@ietj&Q%U?QkIuu9MutPAGWu0JVYZOSOgMc2C}P@;<(ZSWu)6O0G`S7 zTdAC;Q2j+uSr*p{y#68wNg^!ITpoZ7rn|7*DuiQYH>Ov0l8M~dT*v;4_|4jzlVmAr zFGj>0#iH)WxE_#klG6A|0ULFZv_6JQ$&U#j4rinBXy!NEBJt9s&*G2X(vSWamfph) zyhRwP4$Z?u{L8AZ3-Hdj2oKFX*sa(o!~e{A|EWmF)#NEH7&iTD6_Er6S6$1q zXi#Vg>H@P<;Sdv&L!-&CT9e;MA_uUsO|*_##|)02R2qLQl7OJ1iclcnXdE*1ar|>f zki59zP>wXy8;C@_txl8b!Oaq;;b`UVA@-}u<<#*;#lIz=AujzM!f>nn*KTKV0y5#Y z&L5&Hii$194UPhHFR6H*G-$lc-)uKT)4sCp%b9SOc1>LwAbPEyHrp+>JiZp?Xh+Q3 z1`>TQutZ15_1Gej4t0xhYYEarY5?2v42*$i=?HthrQ9cC6OAqUIIhSC* z^sp@@+n!bLzfw1{;xxdMQPNk}!z{o|5(@wD`7Nc& zzF~?nohPLra)0fEBrbplAWhuD1z+Gwe=|5LPG>sU)pG*D`91eIs~7l3V`q+%t2#4$ z4j38Fsnz`^_5;Ms(;`G+moy{%1h9rfVYirB&maX>G4g+WB2K*$ei zsyVUZH_{Tm(tci1NxI6I+TwVEE4+sY*W$M&xF-1YPq+QSwSZ=Qr zH4#?qYL#1(OHy&d@(QwfMnN#(*yEgwpturO=yX;7e8$t%Qv830;Qy%xMnhYo{1Q8S z>RPhckM$SU^WXo`5|oBW1Q}_9hlXB!T?$T#Xs^APesF^X&B> z(-p8d{-`U_+|n#Shrj06hHrojodCbRA%CQ$7 zfPji-U^mq|eNl_3Td}pY>}a^cd_Z}fiPB89(fFwcM?&guw5!cPOE~4aVN?a(BQ4p@L*HF@Sb9eCL)a2M?_ki zJrcn=u5G9bR9NYGcZg4z3G(oU)KVz#yPN9ud2-WLabDMrCh;5pRJZh0!vZ29+)NdB zt4sr3={$I2g~rv#2pD&Ou8ZL5ZdU zmos}+;vx=$QyFh?Lq;RTf^=40{yO z!8b*+*Zrb)KC+uGih(exU@iP(+$H2pZhQO{ORD6@wZr@eL%ic;3{;T`JBD&>)=+dR z-C}6)J9T`>nZt}HD+Zq$2BGSUNBE+n`v1w-;FNS{xhI@Uk3@g-#4JmI(ZY*XbyLTT zG!9Qyvvx{wfovN|<~OJV=b!xIqq%>l2trir-{RV*4eDlKP;9p;Hi%~UNO{P6J7NNF zYVKT7nQ={hKtV>&HHNFw%)q3~i+PxDNyy-@83=aUidD5=_r6MQ~0%+b-(RcOFvXm44I$ekwWM}VH z7kwN}GRU?Cy72d~Jn0vQ6=;TniFtaka4P@HDL*psG~QvU4HS}q z>)yvBztV_?-|fKCV1m5={i}IirO$}=C0-fkdsH?eq!mVXtylz(hR0+DHbpzO7N&?? zFy$O|0>0QC8Mj{bLFteok@*$MJ9jT+-xDJfI((?*jYItUNyRrfKciAkT^8n z;f^O5M^>6&+hpbS;E|Yp)}-3c$HWnX&(edPv6zF8Wp6ofnOG@Pb}t@c#BbWrhX<}x zd(D2usJf;n<|wDuF>*o?R$?Ij#XQ?}H z&VMk1RmN`q_>bSZJZ%s=;b%y?PXb_*Ux9h`Zh~HHL0w|D-!ob2XH^lV>vV=JDmCn{ z>^iW>NZ>cG8PFu-nl2OI^c@sZ*~RV(IbHaY-B7}>Uw_2O&p({iSz>XUPQIh307#Xe ze-{2UqQzc{uTZW|3n3ZZ;j04_k=nX>6)1`S)S%JIFBqAsSjis$!D3c6`vKdf+b*gx z!DCCaGUj3!sONvan%8_V@| zS1?o%!7VY;raV9x9pJrx?IC_6SepAE!%d=sxP~V&*09Fk=RXh;5GACFt;d%ExJRs z5Cyv~na4b(Ok6Unr}aSl_SrS_Wl&8E%4&F(!;0U5>#Yrn)d)MoxP-8cVv+T^DOEX->Q+&3XqN5^Xog}dc#WCTbT3OQ zKy`;m-Wx~v49Ul|$7irO%n8hccU-HX@JH1(KdecS)6$kjaKmbklNx#v~bO{He)Gu^wBk>AVaMSacHS; zc$N(Q4D^j2lbUw{xAR#zdV_&2F^r8&6cv@fVGvM~PQStEP`uFot9X_v+H|<19EGJs z#(BcccvqoI=ZhEn*HMGr^%PY6Uta$I>qF=d=YZ#ZM$|DM+@g0&VWgW8XQTz(>)KsS zyxB5*lQQIUmC`-I;!bH6XbJ4&N{P;SiNg}rI@nE)iw={{|0uUmc<{+<`V5{WWO#9f zqPZE^^`D9j*j49)?h5)_Equ<|=W<+wTQvL((@|Wl`Mg6SKLx70Pi=C$>PGj*#2f!M z`7-y0t&uL&dL6);?q=NwTCJM?abR*JLXz&9p!g|pg&G^pY$w&Uplb)Jo>lzg0vNRF za;QuJ)g5}+4`3X&hhFrdJg9@!ZS&@9kN z)~qzCt~mA=#0-*9_bk;v6$jI;OI|U-hm#@)QNc*FD$>wqUvU?i?b$)I7PARPx7R)q zv(D6EPOVi#CubZI_yGh%p$C)!=c$xq<@cvDdH-vbR+|vgD?5as8<&82cu-kU54}Sw z_1d2Y>cN5do)5bR5n85^)E<+;A+J%KwuPk@c19kIiA&DLQH~>%L`dYwCfG0O74~h2 zl!@!6zl$cG?P=qiD8cxr3z$OBn!**#k73}C3%d6WMq;Y}KfCnb^7M~cek6%}c_Xvf za=DnvjPw-)XL0X#IKYATcc`99lr3`z%MMBJog>1mX^%v8da|Zl9%>(3v1GXR^)o$) zjC#({J4kf6IRWKttEgaGGU#wZk|Nw1>h}Fs;n6H`Q}ILWOB@CcOLL}&Q?v3C+6VQA zv;S0;h{br^i*2rsTf_-sT<(>ms8>~^ID(05zoSFu0`2TWDNrV!_gw%_cFD%~S3}}fW`9oE&-WT? z3Cf#hD0+k(wy#i|H@D_AT$3u~)>LY4zZgopWv5f;O{&oLB?vpz&*%YG^H?s41W(yJ zOA^V;yFwp@O!!;F@2G8Le+7Op73C-oLIU=>tj2}FNO)i8FE93&E3z|ye=MhpGclwu z%zi(&>vzaqE?Quyt#UapHSS1Wb~mmiHljWfQ4ww=o&@*iN?tDCml{F2H`3mkPi9l~KAnnuGcNO0+@!}KK|0XbZp1+U zYP;L9N0cu=mY17=wsc=mRY9}0FyjS+ZB$VP(|-DJ%OrHW0w<6ntge3MOa z>PSeJ!a;AB;4U=0uf;{t1ve3Sg>8#qJK4b&cAdVkn3iTuHc$r3Fpx<$NWeec6HUDW zkZxGDm<*B+?($z$gTgM?Q%*DeR_ZO^y*=dPHy9^eXdda3IhW3*ljF~%R+?{sJJ!G5 zI43smsVZt}Al6eXxvN4IQdjZSzxXfyhE=|(=BF^5-Wn1AcJSO-ZI+CvW*t7+6MXFu zIcg z$Rr@O&XAnGfO0hamPDQNQPsJA%Z_Oqw_5h7bKGbA>F4yAc6}1X+NIBs3Ygd;DRPEO zIf-nNk>&%{i3r`FUehLxOF&zq^tn;d&95KW?u`-dMS1j4E6=u$0i4}J_H1>wCu-8NuA=O@ z8|TRxfQ);7$}AG7*m979T(4Zc&*{~_w0H8{${F?SNYE1(fcGqj0lUXS5{*)yPl$~6*-R7dw`p1A}%aBcxTb!t9w~R z&&+_@Oa-MNg2Lf-umBW9-RngE7{UaS^mDy>`QW<)t2JZ3j%(L+aLYhV?J`Zo+y?c$ z+M1e=*c^8RGsxIWyeFlA1{#rp>S@vs59{i8agMSBp^J{)&yahR9|yT9JDcpw?GbqgP2y0BBpmGN3^vgbZ&DlxN?(fx z-JD+K4*9&+M4~m^95F|0diG&Q2cb5dh9$c;R|oa;>CxIw2h13X4(rJznR+RhK0Bn@ zZ1_em6&GqdHDBb#(7?7tia#yeQ(wIwgk2S)HOBD0er+34#6uTXlO(CxQQD}6<-_2k z#bWA)HzzRQC#3#pV>MLp+No!;i) zQIx3{ea3jL<`>@ARlbsz2X7DwV^m%dO#4drb$Xla&3M#}_BkuZ=KB&FkNAej+geCd zhu~a&qn@-(-mCI<#=o4~l;MIEJgpx2pR4*g-)O%Qr|a#0=_@Ja6BU2<@MOZ;Md2&{ z@=tLFY~<)nZ(7o&z16|08nToe>J4eDBX}<6To8W4m?~H z00(-npJci4BeR^BXMY3{ByufJ^3g&0%KMp51N=h)DP6paa_VpRQ!P8h;7-XWe^bL+ zc)5FxH91|7b4D+UVV>BtpVYgVw8?mt5|TyeGD794qBl^MmclNPeaKp%xk9y`pOvmx zw*v3LuSt^Up{6~jyxOCvro8;*sOZ|ek-?u}^!sTp3+r%`*Ny=^a&^CI$aKnt!3)Ji zus>d<7fT<)-?zYxx>(-rb|;OT-a|rO@G`J&Rq*X~{p`6WQqS)Y?eR(|tEs16%x+rf z@;rw59uv!i{T0=M(}f{KG`!sj3E%z!S_Z1k1=IsUyfS_P;gV1`4)BiRVn1+f)M`Zh zN3Gh1jOyVHRhbXI=&f3h__{-hY0|%vdZ7h;;IV3%`ud+&s7ZhNhzele;v4+H@GGqN zB8>bn>g}ScQ0#VaPZn!b4<;Hr+12HmN~{}kjVWysmVrhAwoUR20hFYV$W1RHz+jc11ehn9bW;j>DStny87Y)d z5?-vQx3io%vLGR4rlA`1Bhy9DREH&;h{CukM4QtyA zk-r+}+-ZHo8fm&=xh%iRI39eLy)I`4^mpghu4me`X>%2^^bk*^-*4ar&2on9jpvEl zh6T19awD7KxBqDCYC3gGhZQR7L;g8*oj45cR02MhQT!HmgtDD?HBMs?UWmwruQNh+ zP^;1lU5?|r_NZ7BNR_#uN$Ksw42jZ7?Vsb5X9r5&g5<3Qe>npHIW@=A(&W9ck|cd>-}Wu z2q;)iEo+Uri0?hZe)FdgBsXADpvad+jxxZt`Lq!rb%bN{V(LU84~A^A7O&ReXy_lo zzJ?n$*t-xSYx8w?ejm1xg*;S$$|}Ahb&s*C3%Tju*)farv2p*Z>~){HMcF%)1WuWD$d`(=|slymiL2HBAC|m^Vh6=>qi2_ zxy8h<;E9Pfvj&eSk-RoGzqOM4xPJ^NhvEtQ&hY|{9oE`ar2|5)+*hC#p8<1@HSWJ0 zr}T8}jL%2&UQv$S&dYbsU?Keqx;Fx?k%D@iDqC?Ld{qM2jW}G}!30;|)DMoVI*S~^ z5e_UASj+xfPWy=a9tvymlO&(^(QO&(3hQ?2sdDCi0Ac5fadL{B8raS8l8lAF@ejqc z-@L`_x-}PyxPldIL(Fo0PHWgHKurppP+1a=C-$SbgYIE-1x;nxwxQmS5ui#^P3`Zl zI!)79U^wZ`2TEV!m0;{*5^0+0-_bMoz9zA<&%C3mj}cnN!FYZTHPB) z^Z%OZZ4z84)v6hsqB8lVoq!M~cq3FFrSa;wTeXdYsgG$|l;IYi{fw&@E@9xdt*+Fp z-*V>%#`Po5)L)z_JF?H{CGXy&ecaHCX%Nb3@*zZToig*H0aHxLgH%V-95LtMKrg(b4d zg{?B1<`!dgi50Kko-^MQzP36C>!t*4%8w$qiQZ}Lq3I-GuM$x%x5)dCJP|@a!4l~UERHc!U(3Lkg*8;UIMChT170|dY^>243V z`-uT-eD0f4H@?4+@Z1O$_UX+&32mkXatp1@OYk6fbi&Z|9IR>QJyPevplG3j3-7i( ztOgn)pjDe6Ot+t*z+TxM$kd6k@;NF4$%M(+-pnF2!%K4S4Ul>Jw|x|;h+9l4E~Q!# zVr?G>u%T97mHbi=d0X}KnN{$-2)34VK`jb0B7^MaTjXi89#K>ByU+Lz#cBEg!7%F9 zxZ_fRM@32CxE!M~;xP+meH3q4J*-co*bHvnnh3eiBR>!u9vjJA2lsRAVQd&7fE0pB!RJ4oW!1iiK^yrYw zo>jEq)%<-}HBbZIpSjXT`V&bra;S+D59M$2jzh@h(;n?(#SRJaYiG+_dQF5=u=F*q z{$P%BApL0iA9t$X)B|A3WhKqQR+`+5iz-evZ04HZmc=v^z1wt(p zh?)e)gkr2X-ZgWa_MAyCc%P7%vkNvYy_SB^oM7%QL&Z!^7PS?&qUx`(n#XMMq%D+g z^6YM%F|lE=XUE+i9`St)^@u-pJ5auq(|I0(+bViw7ryjJ2n@1a`skjQ)30;5Z4=m*1WTy@N8)__XVXzaC$l@ zO+&qB@H;qq`u9SC_(Y^Di{yBRk0}0!j&*ah(9-AYrVkn7%xpi`ZQEy>2-qbSoUVR~ z`O1Fk&;@Q(QU5XYL7dR*^d81peybBnCO7VBw=qO$0ruzqOUB~IaeB@Cfc>#m;v03x zVz9Xm{@jucZW-W3sQO^y21~%3>33MTvd)= z$Y0K@wtWjTMo-7|af`$xe~#X=C6rCx8{;CP4orp7*%E)cB4Up2<#xUCkn-LMSbW|u z<-I}vaNE1dbE*P9=;`p4ySdF}ViC8H7lX%83x>~_-Xp6vS=LJU$QIrmOzim^Wayv)e?CzPQP`tBU=^3;LXL2Y+_ zC%eT@tm8Xl$`MJwgg5Ts)W$qcj=ReQ3c*wdSD9Z$*cV>SP{?HqQPr7Elz~bTY1VU$ zGlEX=U1qCxIXN4oK$9^K{&o%cK+=Wbn)Lo6-4Gdc2001~=j8`C*2>QAmo@?LEy?>0 zVDF*#tZ-d-^J}ZDz4KvQ`R9JSALP)!H_6HtTaB;Z2U(ZXsWyXY%E?vikC<{fl^rE` zaC*+3`;NVE3iY?9f3?l{Gi!cLG9a3(Tt}l8v8*#DKSseaU`5N=|BEWo=d+4KcK$Z$ z&ufb;;p7|t@GVU0USvGKHy-*8_Kq01j7H-5iq)3l*_B!<-3sJnSJrs3#*IL2L~rVV z&;+r{?&7(P+(R?&l?ttj4#w)f2VR8%nE*>rt`3dL22RQZY&>&s7p!h6rQF^G2AoV~ z_Gn4|%BJLD`=s2ZHAiuItuz3)T^?~Rr?9&&c7-OIt+Bb83=r#^$-wX`Z+Ur!w#=r^ zoW8(=T(^XpCiY=z+}ho%d3rBHTgk88VgQn{JO1ss+w#r=j+7qk?S(=@`5t#{k1<-x z>dkE@F;k$VlGNe<7N_!G2k(57G({0}O_ECYgzN4r)(?tp*}m!(FSIV}EH*xeS8kQU zPL;=G3p%h@Y%+q|9#V$m-)2UzD47jGe9*DGW zBR@uQJ_uFK_LoX48g|9iu?-|N+_C0LBA(TbxvQ_1NcB4U6 zT1h@UrJIu0WtYz$D`p{W@(mbWHkWz7?0FQTGH=zuwW{eLMC+OG2C}_pmUyhZQ}=g` z48<0BG|qb4o_g%GJ*n&Y+%I7nw_k2{xSiIhU^^tzRNO4+467r2)=A;bFj9M zMa0G)OlDlB*(ilAMxHVo1e*q1Rjiy1McN1B>JBWZw5}_fL*wm!C;YcYH6Q<5CC}?z zV*#Vf@(c(1HVDBx6I;pZEs52cFmGeh7!l2&-tdEbIXJ!O`Bf7)Ec4h>sZF`k%&*jr8|Zbbvx;E>RLY*$H2s} zY3tHH>m672_d|bKt5D?**?Ml%(9*4R&UoASApoJ^Q&jy|YcsyDy=NP>ceKXAE?6=g z?x;M42+BSBPg(&xg=ZZfBHvkaDU-&TL7K$hKvO$~Q2`}8EXb= zTKDBQ;nQu`9dVKzXO)vk=e`v*FQtKtMPJt@pACoMGd(sBnZ{OF9zf+<8O$DP|JwS+ zS?uqSwCJLd{;GW75K@lPW82$0919tUlJdYFE4wL~w5o-?> zr{3h*uw5FSNC&s$XsyptfksmaEHoAMNu5kajcMv*-oX(PCESL*TgFFLJqseFa@GR? zI5lWvi!5v!h;y$&kFSl~77KfHnfpuj(1~Fkh z_Onav(Y3o;D0E<0EAKj$Lv2j+cC;X4;23 zGL3@jjMYNsP0~NdT3%=_Xp1`d$215hjkbaUz*LOL_gB1vLE^;doNovyp?=(Z^d4e~ zU6{KqC2#%uvO#`u`K4RIetm#>N(WrL~dL!TFc zbq5!P4c0(eAH8nHpW&Vhj#`k@^*deWg+eN31JBZ6%dH!`TOp4Sx5-+%eoZvm66zB%|t z#A(N0OTZHK4B@>}MJqH!8XLE;sub>?D*1 zMn?M$TnG;|`T$Ll58ScFaobQa5nomXGs3rqlapv7U_4tyh0ngpanSX?ejrzFbUld6 zhjp)O@2HI0IOE;LeP;|2ONtZA%iNAk#%g>%Mlq)yx9b+c3%2_6N&Jee%ttuMQyC8Pdz&yqZ){Cv+i2_m)-G;Y8^?w0?FtC7E>G(%f z5q$Onyca+1Et^x7tPC%j^xaqrO*_}B%91>TMRizzK<&iiWEHjVsda+tblT_^fy#z~ z_`Ke?|32m6T4|_s_P& zo#(@lIxEA^jF8u3t#f1H`L3WL=KJx}<)F7=x$_%4ZxdtJ?OAbEgtPM_97e!Kf`RT` zR1RLt)P&$|UAX?fq1Jb-B&td|v}9>p+5&dG6AeC5H(K&)gUW?y1!NaHRDWt5ROJTJ zXfcFH;TQJZKqZPq>Mp?~DyLu?7fB0&+ku`gSV}MtSXa~Q@HSQs^A4}zY}KbYACMm4 z#T0mp!aFKhxh-pvTh1%mg!5GzRzs6)jlyM@ zJ-WQ6khQly&whSotNp!b1kEqOrm%A|1M@+fMYa(99(O8XuWqEcK0aN>j1#R-cN|YK za@Z2@h7NIK-y1ve{TBJ+@z^iQv^AiV$tPG{OXUG4j< z_T;tDlTEu%UIMf=8pREHmk?o>92mJES{xI}K3Au+vF6?OvgA1v^8~6W=-+)s9d_fN z(gMV0;67A0!?_%qaoDk62XWdoGZ6I`0^a5%=A>ExTI*Z?_T@-d?M_$LB`JH%$Fzm{ zAFpgYswE)Kc%b?rI=J^trhoo+)|~bqS{VE#lsIlBqZ&Bg@o}<_Fhk}t%LRx4{96mN z@C5Y3s>ZvXg$B7^O4d?V`otO{-uAsIO80*U61=5|cwE1${>X^BMG6B}5H42cpPf~u zFpBu@tzHvkdR^QpgMF)C^fOo1bR08|+N(44cI|mtu~;SRmaF7fD{=UhR5k#t0;Y-| zU)dZZ66bKda9$MUNGjsTzdD<6zs(sgA(QvnKzmtE*9RWWDH{j)2n|9hKqE#_$uFEo z`~(MH#6xUVOD3@lEyTezSEPmi8GvOc3ba!;wRLN))4eSG>e;>2cCV~j!d1xL&8*jG z(%xbJ$1O1?O4_N^K7Zl*-7%;2tU^7@dcg(z6oJ>pAO8i8$cEwX0iUa6`Z;Mw{nO~) zfU&4+(QB|^H=Q*z*dUM{b%336By_nRrJCcM`Fz$7nm!V^7W@P;#eEZ@x3Brw#i;v> zeuV=ZF)UBl2VdI2dT}_bs(!Ec@R7f0Y^FC|^LQYAk<+;kRegnd6oxR{u7`a^H7M$$+U8CBAkGCHz&jF1?*A!C#}RfaO>f%I z>2LQlfjK994gLmFdne<%iOQ#-yY`RkHj;rTgZv@PB`QHKUDCl;10Qi^dxOT5VI5S+16}Mk!VbS03-S&SZuVRriVNj(r+wRYO^W+}=W3Uc1jk;pSyz7NOEkg>-n2cohysWx&dPd9Yk zg+&#@{k;!&-m-?XKYhol_TS-dT1jIb{P+{5-2TX=-~_sYDzk%975U1N6g{-WJw8FI z4k|zYooC5QAhiJ0F-?s6O(qIht)PcC$-wl0MT_4981N#5mmZuK~DNG461YEuqfck^0{H3H%Fr#3mJjg6r zyA|6vuT$Mk2O21=s2q^i)zKWA#;WakhMrB}=l>deV8NuYhP%K>8>$ZPqSjR-#-(3s+ zd5k_7vB;}kaORx@H|)+++AOs>Luefog2o$Vm+_y@R*E5|eK9?*f!{cz619fl6{EC2 z%2%>{(Q6ZHoBJ_~IDp}sxXrKdE9WQ|^}5)P!%d}!o?QHxe5VRfBVem)$~#KcFwVcH z;{Ma^qP@p`w@deB*z7v>J%q3cuPvNVD^85jH#U}kTB@81{&eE-&rd30&{N#-_i5Ys zIPViFf=)cdVzL#La8@e5$qSp$i#B%c+ENp1oQ7sZ2Ds(gHyd;~ZJCQvf4Z%lq*|yr z1GE&n^BImF(N7RQrQ(Y)GYdo}3@SF9VoMn)$>$=fd;eT_nsE)}C4U=+6j-RRt*Cf367!-Vd>#BzHiw$>L)}l@Wft& zO8#wZqJ88p3`Vg6PiRnv?u*=vhu!a7F)%|{ifT@i@Ihw{*?q7b~KNgzj8YKm*<{I(H5@q}nHG z2i)N>XYyB~M*AX9>x~@4wmw_V64iJw?uL!QD^1=53E~gst3mS%p15F_IW*Y99J6FQ z9l(*jP};)Kut51_#$hmx^6EPso{Y=QgCd-CRKLm)#L`b-{)*b+F9Uu=)74B$g=@DZ z+G(?*WY&DD-SVO^@kowaq?VEFqR!e$oTdIpSNzW(W2_oM^@gw|DD|n%9HqxZ#Z9p4 z$%^A53g&e<-dxr>39Gv4JD&pb`RSXasPztQBlm{sS;;?B6-?}_%{gBl7?x{p_`tf$ zF5|50t$ilZX>L^rgTL?s?D#0p52xx*AAeF+A+bTX_vYN7-`7z@#lVkA5dVA6W9DH$xXJIlB{}T7GhR(K~dGfFJ;KhJYLnj#4`N(E&nP9gzvFj%+fL=mZW%M z&_E@hUEK$U3TEbJR(aHOcWoo4=AK`QZrI6M5E5mt3ITSJg{dMS@K~sOGtw@U_04Pq^T=N*8%8%vs3uAk>V5k3RYjEV&9t z9&OSP$UKT3*#!CG9t!as<~L6ur2U!xhD7NeKFkk?$H=d*7R$fnWw>zT0imzMY4z-~ zL3(zW`|U7+H7-Cxm*wT1jjIZMzY(_Er`PDX*d&g5n4Tczl)40D4Z>C#>ek2sB@k>p;1> zh^ssC=d7YdJK&84$Zc15c+P%fdm9s7IO1wK`hW#mAo8)V8~JbX$a1;5y(noIQr5hG zw7z8emt!{AurEfJWb%_)2SE%WW1-AG%*YRq4Md ztktz**d736vDw8{l3R{A^jEspF+2Ov9QD`QOn3S%y_~qHUM`ovKzlfO*nT^Q>hbe= zZ@Uq79aIODvIvD+T@}o{4RHRwg!&z`8uq^Hrr~8M)UR4vyx88=VY(q_IJA)3X=!22 z!pJKH5&ERG*NvcJC6`#PA|JW+)nzcpFvMhkS@2tA6RqWnbh=fA#-OrswUR(9N)oEm z__P*1G@C$5D%tca9^Vmt`#6QrRf@uz^2kT1<<~b|zvn$OeIJ4GO15V>@a(vEgf$;c z9-vJG;8n?B_l7CO`m2&=h)W?6IBo1kT>d5E=LwNl0fkRg&U|*#_7s@hm$6&W*ZALG z!tc#wh8dwLW8QHRt7jG?O)e^MN8mNYYeE(e>pjUX6WUw#`GoHAyvmVN!OpiwRbe0C zJNae$)K40F@VEagXMI_J$Dvw$sA<{f9Q0Qk1gk%;V)?zMsc3$iC`y65+s&&WX_ z_5G>Ug88}Qz;Er#r%~8)pp~Svx>IEDw=q~rz1}#Y{U2NAIUaESQbDb_TSMXEPIK}T z@8^<55R~NCsnLj-V6&q8tmpj({mw0T@N-N6bPZz-GVv$#E$smG=Dd{ zXC6PY&F%S>+qPg#?Abib%uZ3b61ur$1`L;J#)-`7-mhi4$1-y40CR-zFAaIdBYMB$ zj4iYF#Q#*We0Ac*PIU2NWIDTzkbdTMZ5#AAI_6PZBSgG0H5} zpH}@v8av|*)2_}gN#Xxr^$7>y5D0v95%_f{$tv^Iv?#Wb7lPr_$e92W^1RZi8uv%< zcJ)m3;-E5`%H%oT$!W>GH6(2sR26lZ8&T(F(0lQ&bJ;3|)=`Kg?#iiN)fN>Vt=4P3z6DDmn zyK^b^odtV0-qx_uOY*+{I&SC;&#`9@cUbdW-Qr5`9RlTB2=f<|8ylun(Lz=46(<#= zO8=Bb+Bdu6_WObRwFB-R5b;`*5X2?Nz9s!jO!cn_H?|9cs3?bZ5y@I1t@ft8xEdtz z#ZfK@mx@?sr9V|JbN8{OJfur-=f2)(;(fl>)@GJuvILS7)LIpvCp1Q#E}u8dG*F_` zvGk+>&X{g`_&ZB|58Mn1Gfh%}q~N2eLHZV_C06l4_Q2`bvsst)r>$!lHTZvbypj)` zaOt4(m~tQP$j7&JqHl}j3RvPBrJRZQG-Wcq^oJ@7O?FmO{!@PR(R3IN0&mELxG2>^WzFOF zi$Au(obGYu@Zosx7WP0zSKMaZrs7VsuQCX(n6d5I5x1;+_C*_%Uu0`)#Vq36N@w4YAM}f<; z;HPK5F_0-B1Q|uCSqZJc(L5hj^RC;_ad-co_qJM`KP0Ftc}+UH$eqUkEFAL0k^7o!I10eXsUZF;hbjyM`mo+ zTjS}V-eEjsfvk(6?@;=z0dJEq3y3TIeK4E3uQm)~q&E3i#2vd&^z+P`s2_j6rfB1` z)}-{-D#%s-Sm0V5*}leBZR0CJy|g8Gum^rjSGReco`n9$%B=tG4|QFUwdb^jW0rT5**12h`!UekF|2@1;Io&NQ?@F_ zO1@lSlkZ1?v~-wnkhf%M&I6zzy9SmdL+%rp+4W15dP2w z*T-Yo`YfVNRMG$^r4|xYVmCuSdt4RLwbS6b)^3xp((+J)6kYNNdfXdm0EKdlsoXCzkv zu+pb5(x9xTF9S<_rewn$VY|F}!**{l$X6zCby>!6%;(=>VQS30^9qbV&Gz*>ZSb3Z zyETww$>DD&*GD;U@Hfw}{krp8rxKf$A+Hu@j+pP(n(UX%huhfz20fV^nDTRK^RL@R zLIJx~vjNU8F<9Ac&(&=l>!(N*v3aG+`xc^Zo)xoW1~^V;)9J|sou#R8rF{wpmpPbo zR;o-oc4U`V-6Gqy=J&yOXL4Znx#l5j0vXDWf}Cs6ep6QwHy(SsbGfABUvMEpaTGko zi^iMGS#HL67pg7+~tGo@)#L%?AI?db==aR9HaP z-((H|<_ssRX9`Ns5jo&P*<6VFw;@DGvmlys%Z1T07C?Am0Vu}UEWuIv^#O@9dI?~Z zoh76<8`0K7^s-YVOh$pOpKguf0lRJJ%QKbN@n_?4s7#5k;;ez5W7BxC-n07e7em@U z872tAdf5wejQ7f)IAi8Fv_@#3zxK9n>m}T&H6G_)UlSf0ho-n6(Y~z+W+Qtpw+zj| z*ED4dNj|T3(hCu9P{>y-Os$CSZ@NLW8P%zJlB}S2nWq2EbI%bLr1_~3x#pdmR7$x< zZ!)qxnVp7}3`2%l^YVX2K2vmaHF18Ilj9omCC#B{@83oF{-@SglU5y$3AG~>)O`Ox z+yA>gOegS~pl;$C@zE0o)0j3t${83~CX$#=;R)}HqvhoJw>AK@WqNkn#U4Ca!h+=wh92e%=h=t_ zgbo$bC~};;6FEdd29A=i1e1?iCuWdmPc7Qu}ox z=61x$3*7OmHOsMHQrg*wZ4t>6Ff916n+d#O=ZCaIy@2}K^HrH6D2hEvrjrnB%mAdoxhQQ0cO+5vt8V`{J+4r+I*(;h6U4^y z$uS!oE9-AsPlQ&}JDRl-8_1PYos5P-*26p*N3U`NL4m{K;bHm=3$d z;CE&QYCDv_^?nGO0m=Nwe(w&0DuU-HM{<6xe*17@CQB>&(C_2L+{GQ9+~Xf=ag9Gl z;Ct}~`Due@-Nk@TT%tO{zaH`bv)*v_EHC=kx5g+@(dUUlp8(yK?Z8}OF-_K635He< zNgV3Zcef-Cgi^jU9EOjPKWqHy?Z%N#KH$yI8d>K;tN5=6i2B!5vhSZA_3wjZl|j4B z94`X24*(#Frkq|F{P@`CaVJlV7*3e3W4kH1kbTtL23kaWqDFs;SMIDjqww9NTygE^ zd0a(kVgCr}cq_a!U&Su#l&lSlo?Glc105)W3z;#NKJNa((<0BAVeFb0jEQbKWhbcU zHnXZ?QQE_KeJwgcnU(1EZORPO*-=-~w%4_jWAv*E=1E_AhTW&cS~B+^OxC^TV1YKq zZvO1Y(}A4K!Y)o5ubS{gB1IlYJ#j_13Z_1I=ktN4GQ&LF}q$=+r5Px6ro!N2EE#Vg6+- zdJ%M*EA={R^W6P-#W5xR$U@-1%_5JFWBh#%Gh1N8x5ytdKk90x!Hs}pP*raIoxJ8# zE}*VP^T`+`K2x4IT5Dk6>?{ki(MPeF*k`TKp~xEerZ?DjOc!QI)0Y7IQm&JwKu#*hS44?mhdTc{=f1;g4%OOaRwh zJxe`>9;^#uQ-r{TugCKj~30*`^Gm(Pxju;X8Js)PcUIA8Ff zjgq>^Y?y|zuU60$INv8}OvNZf{%z7kp{%TM7vpfcm}NR7cIUx%!|{(HL6%N4`HUBl z9xFWCXXghc2@r9`@}A>y$EjMZZyuZ2>JGz8l>(c|+*@*Ry^_9Qk+2@%8G+VwPZuhl zlzZ?(fAI0UCWN+-$}b8YwAHIppBXU2F10MMs-~Z=jka_2s z);s!WFldw*^_MyKMe}~nlrX*pweZOQ+v*EDAp2mERo2`cYmSr{hwu5roIbGqBL+U) zL?TetI3KCLjD=CX<|hSw5YGdj_bFsT2zN(nD#@A-PO=4V!ser?dbHzm?qTu%3KTut zrir;MUeq71uc#Q^=c>Q(iaJS8qd&*8*XT{5iSQ!_PjmCs>IiR~RtQ=&{^b=mT#XSM zSNMkPMCYUz6tJD|dmx+21y?qF#R7wd^D!`q9w9yT9BBj05XKLEv!xUAt=~%{Wd2t% zb#}rh^^EIxoX&N+vz&R?fmF= z6J9GPPxDn#ZfvP4biT@JCb6;fkBmg9$LBzEN2wpnYM6!5jvrTO!|e={2AF~DG@>9v zVbbHlStjC&Wr8NJb?e8Ix6(!Ja!WLIgc4C*mp0LUq;*Ps|9$-{A9hSKLYw6eys#$+ zE6Xd~eEBXko_th{;$-;kkTP=L9Jw=*!TaUut||0ke?*+-wf7;ei}q05?=p#KDj*OJ zGfDa*M);2O+jVSu$>!cz0=JB1?#JJ=FO8a84WXUHJTcRGM~1(hH{(NiuVEo*As_a~ z#n6EZF?qe#`#lkGkc)%Ig4N*h&B`i!rTBWJ*bm?BEvQ@ywGJrSvIzLZ|5*KZlLt>c8#7 zBUxZ6Y?-)oClVVV5RncHPP|PBv&{;)+W&Kwn2%9q`)xkFR-#_|Y^m6r0Pacr2MNhx80m$BiWKWZZn0uuJ0; zBRl-gl54aNX~d>FzVWhJMKaKL;J8#Ddcr$KUGjf#b0&|7pG%e24YZ8dCMiC)q2lhKMnj|DpU12y|;oC9BkuDn-xj9mEsq@!5SrEII5!Q}PZ-ap~p!0SZ z+QuL8vLe!av$JBDl>xh(u2~iaT|AXDDhmYh@3# zelwH|)a{=bj@NqL(S*9o!@q6^MApe)>-fj>E8`k$q-e2tLlk#E zhuX*XJ?!{%6_rI9|p*hn=`p8+U;e`+O>$l z_WEeFyZQu06g##=TY3E=rZG*qOU-*o^zR64n-zGn1``_!WxK1UF{7HT8RdNKY zQ))CHdnWtW8zD@kiN6NilV{*=JANWOZhImyeKT2W^n+>!PI)qw!5f@COZexb*hJ}YH84@zO=wQp3?ca9Ro!?aO7x`}XoQ@ZM-G0Ow>OOuS&@@Bz0pP~JCu#v?bu;ELJh+o@|RIA z7rtB!@fBA=Em-V>N#Ie-2bu-%jfJ)XP~i&-H9#X;*QS#14^9|aEH4v^ernv1RFUd4 zaI%+tb2j<^WuBY}Kzm1P>kk~W7 z5%oG~6MN?P{YgQiYJv9SRU18@;;ml=ow|i-UU`D1yw8VXpBYak?pi>?==$^ve^aOn zZ!4US#qP2X&XCt1>RhLgvQ)x${r0PTm#P_rQq;AM*0fGeu1>$yUp%HRS`79F>53xr zn%&!RrIl+vn{Qyco_T&}dRTsI6lNM&ye83`;H@d2=}V4a4!kb!Em> z0lXDTAn&n#Cn#0@UMEvpkZ@%_OZl@cX_l%ZE|&(*k*7;0ePHV zHZF||B*pPK_B&>pIL0yZFj38L!!e$^GOjTkyWkj_Ws@20gS1##1NmVA37RQ z=>Fl@&A0-D@SR2997-csRt^1Lo@CF&Z-_W@U(ZKwkE|-Ni;Gvg9K>yNaF!d_9Eds{346so998ynC>|pIA zF`9Ay9l@)*^=FrK3k@t-JvVSL*XOeJ^j3J{sf0u*U`+!WCW>p^NW+b9JZ~cQi**nm zf0Gu@z;n?4z#ZF|X@*TSU2@G*TV9Brdpl$ekm=Jzu0uBv6 z^Gnm1J`ZchShwr=D|dCMUI4$rRQ3({UQQPQEn&I4H05I8dmzBbU-nmW71;G$ZrD*pKH4PfdN2 zF>h6M&ccyTy>nPHcsju)!op6Gk)wLsZPTmu**@b~Xy8M=giG1K?>Y+uSP8@ibZAUh zhY`uiT4CR<;(q{cZ)CRwE>W4y`)K?{XAu%_ln?Ok-o~tqjWeAro-RmgC!NVIbgB97 zt-oaAw9VflXw8HzH)09ruM#$u2xF-JLd*%PF+qJETgb6oOXDE^ru{TgrP^A=VThY@ z=V>TnNhw&ZNp(AEG($w#p`_iM%c-2Z>`jX-!g0OUZJtbxuUQVN^oZ-cz>w_f2$wb? z9>s$B+nqYCYuG^Kv6kbpsg1F>@9$}Um`kKY1yy;`cfyh3^};vr72x##*`oqF&(LHe zwK91bFLC&L%Qne_i7rKoJ{sz1?L;UDOYS-ripG_I0^$6ZiljZrRh^sjRLf-A>})Xqb0bRcYo7bw2w+l|YbwRahanvP4~ZQqS$6Mwv% zXH=xG-3GM~r;Fu)sZ}eF|Cfa*z(#mqztgi+(XfMb5%`u)N0H zHr$Gg4tV}4f7AaIP#YX(drOvlO`*eQY!IAX@h3EvU`fd|%bmF>e8UPRl>vfa{}Hpp z+#uG5pcB2ZC~%g=y$Ejxz7x^LDl=imsP6Lx!UbrM(ngM_RNx#PZQ)`q)P{YA$b=LX&;g9 z!G}AxoJX6xM&BBvUq5J)QtU-y;@>H7afCV?q;5`6^6K4JTAr+)*^0HR3X1OWckCV+K#q>s16-Ow zAByUWa3!in)W~aF3}k|5IAUARQ$@eX zcd;qqF+h(YQwxR9uRdU~UcT`F8%AyfE%(U#Em}89*IO5oJG}`vU)SLq#|p6e z_H<+<>^$Vlk+>xo4UTc#XuKX~C4&fvS_-8JtunGk%bZM}S52w?lj38M%qdv_%PEy2(egZoTX?o*)b^9@r%}3aBRHR zpb`L*sSCB}cSiyvd`Z1i4^K7NN=abc2W4o*^#5Mot<~qQGIby6>zrX%l43ivL{6&P*QzBdY>dp`lBw=~ zA`wPjB-Uc+&7C5XR&%x)fK7d&1I3H$*X`?^)R=21AzIiXkN3VA1!F>VpT$ziF=7uM zYFeGLEINO+0s~!WzWK(JugyFHU*mX)lTr3WDDW-!BMZr)d`TJ@>`dLF4*seO^xNs@ z5<~zpQ7@j1_8e_zl1o~d6`|D}{Oh>>xp#W^xDm$E{$=?m;IO>7GBXZ`72#Q1x&<(t z=Crp;fsBWYtyRqZ9n+BAxs5I-y^|nEwO%~J095vA4pHx5TOE#T+wjiMg0!bl#GP(; zkve%q*|!L8Ri_;GI%&h|DsTXKLz+jX*oB~Vm?a&NhK0Lke0rmyfJ!cE1;&MX_H*_1 z1_GOQP*1KoaR!;)GHTiLoj4ZHCf4U`JLpNH9Nj;XomtA0f@O2~M4I(=&XieT4W}>l zNpyAMF`zYWEldBOitM|6(ncU1BTe^#y$tcH-H)KZi-{IwvLSTa?-4I@QtU|T{Z9?9 zO7dv`cDV?}=hb3#?E9wIe(nW}C9H_K(S&k_Em!7Z@)m9gGH&}8xl=mx(1t>DMmw?c z9yuJdU%N~ziTpcBvFF?YyeXblZ|$x@=dP!AvoIM2xDQ(olqSYz;^tTFAjDhWR)0-t zd!i6MPgi+rF<l-AZVyugA|@nD2MXN;F3s_b^-G{g1|$jxttuy!}kH4E@(JjaT9Chrac z&2o$DS}EW@-34^Z!ZAfWe1T`H1Hc+7^zgJLvpN;^x_qiIOF=yk>@g^dRxyC5PLuO7@S15*r z72Cjt?)a8_xM-(FuQ6-&?a%L(sm!mm$gi<}7n+I5l#hUn4aBz1Km0#CvjcJMFS*xc z6SisAPo7erEBK%hrq&ZQr?V;&$wuJ1wRfg}UrS{zD#w&A!1+bNZs9GWBDv0_Q2|;H z7g{m;txc*!of#=%SSbgVFrU_}J;wS#hPQi!m|q$QS^b-c4*D1;5&Kz(g!kB;5J0ME zy_RYqL1Iwntq`nlI~dJdY;vESsceD>ZNEcs^%VEtxAxy1pcO;xU)uf@F5!T zxDlPrT)fR@3yv4}0bstAC{B}2$*!AEm18u_-9T#$UT{_T?N5Gk%sQCDuAofITWDPHrGVB3p{lC#?ohxrO^Dj$G2LnxuS-R+Vvc6)m}L|#eu&M$lbzE116 zW1L#42FRS}?R~>v?UljUa!)I|@`C0!LnJDW@haVO=GE+TyfkfO2mM}mBOn){aJ%l1njlTVY7zmtPqldn1=Vy#!3z`3cG!PyA8tI)C+?A!Eb=T zRds#dHqJ=|NWp^+(wOQF#*z8aaV?9t!oRl}fytAsj3`{=?jT2$b8KJ!6LOhmWF!Vm z{n?u{s8iMe5!fX?@O(?w$X4WP@-YN5DB9HJ?l~c7*7di1j$t2#4WnY(>E_hY=wa`S zd+|)>jcKFsGPLSpIOs} zivkeSUnAby6AvqQyy~Wwyti(p?c`%gLCKPd(obO62L!|3YVZOl6H|5H{*^KRmdm0! zN`H8Q6F<(}E~^&fL(>+AJ*J#h;?xr2OwjKyH^B&9@}waEQ;r}Oq^)(-Yic;n+iu&@ z5bD&nQ1@H#3@LY{bAlGf;MD4J=I8SclwXJga?|Fz$Q&?_EJT9^zwWVy5#ykn^AdTq z!Ws)ff4;?=|F-eBtIEAv*Fv-gtI@;os^P~LU$sZm%5O){kjK_SW$FM4uirJpPF9Vu zkVQ$!E{)&L+@t*hk~|^CqUR;zL~nWT$J3}75pLiK zTz{3x`z&AMm?RNW;%59IWU8zDPC)1i!~3@DV5BW%@bnyx9ylF6X)#-#EhrKSzl+M0 z>^-h=^cS`PqYe{^<8uF(mtNz?RYU|`j8p^<~ z*@^>IOLB$$0y9$hrx0_D+p*A*z=%t_Th=UdAV&mr8?yNXHKYDhv(#ezSd>{0efn8= z=t{i$Lv~&-;`9gQFsF5*P9WIpL{{8uKW+qubo|Y)g7#^z=iKszttN{G-sh7_qURqJ z@Rwv+g>m=clxi%YRH5Njs}^sal%HDNhmbnP+yfM_R%p?Y(Z;rS`OLLbgqKZoO^KF9xrc+C3`Tm(h636S6!9%<&YSF zP)DMwqN~iPP#?ZCus|pXNv-*XJ+sH%8n==m5*g=u>LJS>eB^ixM!2?K)f*M0`cIT2 zD|<+ifsUPf-n6J?9LI6bgyN{OBSP$!!x;9!dHD!1eyza|fL=!eDc5H;AV?z!Cg~Kx zhG<7olb1Y3 z3H(o76GvCCFs1*(XbDqM~J=R=ilIMKB*!>^UQm?B-`7lpY~bCTH~xUB2VWNqChjT z8eCbEQXv@=U-Dj@cfBJdE|+1V`j- ze{Z1H3qE9Y|OKSMle>s$DVu-M9y}f8u59r6E+Cgn3B$O}v z!R{g{$k(GM()xB?lX`G&6nDbzKrgu_6>qpV){YwI8o%d9QDD$CS=4Ik*^lC}jR^mJ z_+QEd#>)aR|FMCtudHXon-2AP8lk}u%Arst9-y6X%J=<)-2C_6;BN)PY_9<*cG=6` zeXGU5Z=a|b3`uom$2KI!2s=$2bmIS&cu$E>1ZmWCCQJigt~`D_(|=Kre=&Q8b~!$% zw3t@&Ku-1N(E}LK*Qy{AdXdk6IziayE^*SxI>h0pPM@vXe$sS<`r?lH`$OF+6N=ft z7rXYJXJH)0JMpu;U|yI^IOfmVS1)7uxsgHvm^Ke4j`5#vmRp74G>zJg*A@;ox$-v9 z{87sIL7R&)<>mDUiwIy^|H5?)8&8mv+zDfHS=zTh*M{?ZCneLT13I$^7vGl6eY-{% zrcsUei20FU54g$~|w-z}l)t_*}&0o#WM4wC@P|lgu zC)vKa%o?Vi`K8L&{2=qxB69SdGXGjx9bo_S0Gf);JKJ?)P(CL!Z1FKrP9oqzRBO5c z11di5e}C58bto*msPZJX?L>4lv9)K1-8vcca9lZj^>9 zQ^QI+?w6D37!>YccBugRM()T~iI2E7ZmKh7(iYSMG`3qnhX0s4iop9Va z6#g2`h-ZnUJy(#IdjnZS2vQ*~AesH-};J!}5?=;2X?__f0nq?@v%<(GxTR0;HrkOU!VJHDI9F%e~$b=*l zI6~yP>B5*wk7s=@;kr5JUzex2(;Z*g6Ns0%Yeax=`6wl=YL=Hq932ybAYkzwMK7bB zJ%@~uTHlN@?e8PC#-VW*ynDO%?4 z#W9dc=Cj}lWy^1PVirkp8`7p}BJ`uJyEE$esQ`E9zB4uV*ItV7-9;)d@4eM#4ly@} zn;0q~pVd5lkvl2K?=*&i6tt;maY@;vACHk6* z{|4-ct-2)xl1!P#EbZWiZIeo)pE%+^u8PcL8Eic5uO6z5=8^XL?f55846-FcCeWLB7@0HQ zxNoy`SHRdcv`3s0J`^-^L;%UA8)xNtXqW3a#zS6Rtc>2>nq801Y{t1?FVl@&v0crX zP$qT@*|gE_;+C|oaoLPv!bhiAdAoFNZjVczt~}CR3TK&<)1`B}h6~gzeeR2!6$Z|o zEkAprn1wABWGRSec$Y@kOicxA-fYcv3h7maz(DFCa;?9C9vz+p%HRq>lX8ljP~>VG zQTGslf1agbeju+gQX_WRbh$-Cmv3`46FgtSpc-R))3pV^)UVkpg!{iyFuRT{*TB^)t)5Y9M2g?zx=T^TX(SNly94I}M z1pBS#&E~`6{FE}A$D~kcL8fV2{l2l(G1KYaCq&el|3&$ODhm0k(Q)PxMJG-q`$_~` zeQE?bF&4E--VB@SS{KZa=rxy?)gNyEH>U)#fC7P86Bw-^yW~fvE4b^g8evVRVcvW# zD&=5K;)iX23%+J0SY`;x{%;o`ekQP>f3PkYC~-NvlmI{!q6}5RqA|Jm#Nm2h{%K+) zxejJnS|V)qW~m5?+WlinTo-gd&$pWDZ%s*lK*j7uob4_v=`WC6C~MY+T<0ZG=p$=& z&M|zQ9;Txq99QdX>uTRLA4RMg$2CUJZ`}Rf582w4h2PeACm=oY?SsKj#+;o<1|qG0 zPvP_R<|`i#aTeDPGSNJBq+LI6Mc$Rg3C71o5~aWp ziPNPB_t9r4h7j#e`5p2(+{OH9|KoF!I=`uVgJ@?_2GUc1P5Glo*_WkddOk7i2j7g0mX@BcAPD*BBLCq z-X;a6Pi|J9rvgiC_w^wywD2e^x7j$ozpShc)SFSB5v%K~ccZ*KGGCHrBzy^XR~^6} z@khZ`>!;}JW)!&9ea|S@>#vmlT6LzZFe4~yPog0n5!vb5(7blD(k{ccm`6|A(aJr9 zY&KD|qIdxgMh{)^gu8p%HdV$#^PQyi zC+G=L1olha+zaJA={^F49sJwzr|_k<}y(d zQy#us>)Ng}d%cnNIy6TkI7YA_Q^`$J{lajUR_cAF%yI&hHX z5p>h7tZa_hLhQW@a|FAM4ta$ggi}`2=mrmE)SwU5rAj)kV)?6A6Kjbguf>WnN=}_r zJp_%RDOJ6%ZseHJ;kOCmi@0EOC5?8z} zlzIL_wE_KilWB%Wm?y#vex{6{9r_o5SmEf0)t>|<9pA9aJFI-1dV?Sb zVf4jdY#^Pn`w25k{F_&D3%)V7R%s2Lq<9o_mvk5KvBn#!{b0SMgC&niQdTD5jM4BJ zP)y+oS|fBwzDs2O*%fbu_JDC|YvKoY3JZANW_Zj_m~7aj7c%LFHMfm|``e^=1r-mnhF#`JJcAshG#H_UU;6hgq@Vnrl#^Oo&eHwTW5!U%XEAhEHV_ zs=m7o)muL#)AFG6m5=KXPL&SdJ=Ro*!w#YTe4NK1|0R1P2VXYHe)w-0flsIJ%3#4K zo^z&^TkR#bvQ-V$6xDekd+1H-nFm}z7UFfx1($IiX&kZqQf7os;8rabi0GGON*Um% zVN9ac_ry>ZmD|t(f-V0tvph)bxSuQwdN`lob4x8XL{2RG>Q0p4p=RInk9VMhqnN$g=Y z46p(`Lu1$4&jUL+{kK@hjzG;6_urH(2dXsFD0Q8x4c9t* z&xGfAS!Gsn4PQ=@!l^m?j*_prfrju z>3(K$b)9%R1_jQS%>fv6HCe?^Ugy+xx?p~-q`Zz3FdC_uJ))pyjb3|J68bylHx{4O z91@7V>A2e-8%uc1vh09O3I@7)`1ak;l4Va$*t)r4Usx^Nb|idafEG~!foya=({kM| z8@fI9Rf*ZjC^VM*#iK9v+t=?mqd5}9*eUa;Vnbig|3sdSu%`HIo-VBpT1eTFWNt;V zYj(h!cDV(E9;(qydvs6RFt!)?%EKF}B_TIWB%@ftRggjV$Oi5zjw}V?8(5BbFynEx z?p{+$$Z;##E1B;r#aqEdMvLG;5*Y1#^|8^ica`OBW##3*ZC_#!DKRYt zq~5RXB#a3sDqL<*wEl6(3OL`;>*DWg_Z$+YO!M8|xGZ%Lz#scPOFqw{cXWCxs?J&B#bv*FAyliA+vOR6O}lUBdK z*bW*~ZGFxP;{RIkB^~j1oco)N8lJFLCZrTfH})@i4xO92mJhhoE@}Z9p*uC!hou~C zli3>;{0m{^=tFO|Y1W$iyVkUhzOuLh zgT_}i^<7yJH@%%8*}~PM&RTi6Z1pG^4(dwuy3H8-lKQ+ma(~^fSUo2Rx%Fp_s9cTuC1fTYrm zNP~nRT`JwuF?7e!okKVv-6aho-6=41cL+ld9nzfxXa3K7-g7>}eXZ->zqR&Sd+&n0 zQh!zNxAj0-%t3rTHd4wc_I%aBvf-%Q?t1PcZ=w6h!W$g!caY@mG*lv*s9kG9N^RZZ z!!h7kZgO;|Ez`SDhpF}GlU06T9D1*uQp>4cEpCl0s>D5c3_9;op(5sKS(0cqQnQ!v zq|iq-SoctA2lv-U2Z#K36j~ST!N^(W-1dh7FOreEeJ)YAf3Yy{ z+w5EspFyX9`xRsF5m2%F!`Q_ob7+a_;Q4TdM&mA@?P%*oakl!GDRiL^rDk-H0DI=n zCjWcV;;miEwWq?%myXtFly&|W8?6MHL@Ol*;xFh*ox6G<8TUi!2_8>s#YFP8yG9xG z`NQv?XSi(O5`)u3cK&_h`vV>lUr*8nAqCVh8QU&9_b;EL$3#><8D4YpE`|bPfbY%K z6l9bJ-uDI}AH;f5mXAA=G?*k4#5vTuTz^uiH~m`8a<0Y}bfCYFV9#p^tpgyOnuYs(ai{B0tV%+Va@ z-&sA$8cbkfK*;u7nxd+Bz!mgco(}2DhVp5aV=V3X!zmi``J8)d4Nz8y%t?#0 z(CDLGK8(!3B7sYvBs;!p`ZYXn+M_g1aXEH`)f@ zvncEU@I8**2AbY7Fc$kQvO;^UI4-m*4Co4VK_|jdpKY{azjNsHqTh^CkyJK8{w|iB zZcU0yuf92}e;c0b;aK@6_GCoxzy@?ln~N6)UB9Yc^b3wYUm2&!nm#@bhmegY>K$Zda-~ zcck{!q8k{B*m3QUhnY~9ieDN(TTjF7t09wk<>MyO`rZmu`YzJKmum)#nfjM$W=jp! zszXzr2FrSX4n-Pp4*%6W`%(L+5R4`gRYchh%*?^AqSzL+tP?U+j(kRrQ;|RMmJW_^#nXF!ehLoY}DWiDq>Opln;CF?j)VcswLU7JU{Uc%t8R;ixDG z&_jrw%LkAS)HaFKl3!PXGj}Pkl)3_0g=$%FGn-0KA7-W<_H7uuIpnIL!M;d!9 zt;pHZ#91`mlr=Z$tKU#Ihy{cy!%BR$T#9TT6R7L{Mqz`Yapr&2 zDyU4u6>h=u3g3kBKRgNIc;GEyZI-;V&;alDQ)m%?YS|+?ln(3XCwGLJVBRhM2z0-8 zHc2ynoeAYhm%xq>biUFyWk-L0{$^F9g~1O?`g`rPbgTgTsI;g>k=41pjMK)wos^sG zFu%U)zqCiNF1ptz6@bB1{LsT$3lrVzjnDGA@i~0t5{KR~{v!t#!}0z=e<#o#EQg;j zI?;r!3?j~PKk?-m2HiHmzrr>~tOT%~`K<=`z#p(L+BcbME?(chcl3J_^ZyDdqwT8p-2tlmERx@!SK0s@oCggDWfAFF5qm+Wq z@$c!3Wxp_zNNc^RHSnnBJt%#DiCX&`RUo0E##FpJ*#V{9^nmF~9iH^&a`t`pAd|kH zRM)`pE+eHSFGJv=rmu53SlQx(!tjq_T?;b3AfZpx6^aL8(v(bOR1+vfa&gOHj1HH$ zzC4!oNRo9zViL4+e%MVZjz5^@<W!e=bc4_a~QaTLuo+1=o z&K+w%iM{MNtvn5_u4RN7Iz;~Lanp+qSxO)r?I~w2NxI%?QX^wuo;juZ>@HNOZ>j+5 zNu`w?6M%U)kZg=vnMRG~t7gn>bQ!wX_$2K&4Or5bUd^zNBqux_^MI^*7Rt~;0}rFg z%>n@!mF$wj+}lu-1z=C8ho4CPrKI@%fr%zX)=Oxe%tgtVr0=Ik$J#igVqD89od8p_ zPRIiLP3E2w8L@R_r_Ad`6jAL*2ilU2TL`2v_+U9k%%OA23&V71w9A3M%V&Ts6N1Pg zpIm17Es+ca-~N%d3b8-r;#ie%o9z4`c14=nxrr%oK@!p^;Iba}oU9LSO#EAh={29p zCamFdM2dy+vwP;UD4h27L{t*2pVvWKaL1v#BkXEF?>Ds(meo&6 zwB%Ad3bId-n}Pe`F_JrD3}6U>Fn@#Tdu&MS^MTBaTs7Emm=;*Q`gE3D@-ljM1=?uu zU@&(4VK-|ORl!|@N|Gri9mdLDokh@O@xq{b5ULd3Ciz||H1yvv;_;He>@>0*Zp1edwki|XV}CI`+1Z`{nTcN8Wk z9Z#FwshjoKoR#=?h)o@v<~&`QX)?8Mz21l}T(mC~dnH&{nLMmRh1;9K+-V89LQfIJ zaq5Ij1XXPdJ($05G?wJTps9pg;Y`Sdy)T4kZ66HaVV&I^<(aOJer|*JNCh=(1c?ZX zT5L5~p?ULZ%TlE=ui7}P4WSwxRAJDHgB(orT{;dpiFz1C>~z&UYX595gzTN9gQIBl zwYyVk&in5bcQCMB>VdDo=EnZ#7hE(NbA&rKE*oAE@-~(MxTVIy=JIF}FX}o+A#9bc zOWvjQ14>nuAf9>Q=6Pj#ie~l=1HNIJ+q}`pskIEeGiUu+7uW*R>y<6PfP8E0j3{*; zGaeH10fP9COsrHq3c6l~@CiVmOJ>jtQ;KI|#?qAVhqS^9MTn-y2Ojqf4{S))vu&t& z#Vtl_<3e_XMo@S%w&?$6YD|rH(s1Vs2kIF*^91E+Y;vA{Sc z4TBAKh%8K)qy>8-ujxI4>12V5y^R^X5|`DL)yCxINY=N`Lj#=|qLloih-|4rPRJlX zn_fh}f!oQ0NOfOI8^neK&#|m#UQ;bEL{M9h`#h%xwFt|*+%lyIkesu}vET*SZhw@L zR8o~?FS^etcLVkHCm?-FByiT>9%*^=Pcb71iPCQgz)%??i|g6i}N*8eJ$i3 z2Ya)uHv>55A)WT1k=+RWm}DVtZX-@H62$(KehrV8RiHPlU;=O7<62c!AG@u&C0N@+ z-2DtpDd7QxokAV`+gW}luxWzJhAanfLboYXs)n5Cy1uhs0YHA9`~5pzSYO-JypJuC zw_VRC`(=&sD{3y_ZBzi62$;HA|8^j?X<>Ja+~jv7go~<7vKDs>%oY<)9|9~_CI!pYVVL(B*LJkL;**p+>tG;?|R1h z*fXpmIo55SJHPgf_iO`>Pxk)s)Rj;xEesJeDP`mlc0QS@d?6mLTdLg}sH1~MmVJNv zYo)iU1-=$QDsDh&b+K2|H+S1jDPLz$kLep)upPO84=AzVcYddaMFo3IFF!2acK=RH z9Gj*-tR)_QDA0a1q-*Id5KwYYoYNHP^gEt}j|GEdcQ&t8saIbX%LM|>)*QXb${EX= z;HZ2rc`ZU)A7&)$jiG&B2`^JKJzN~JeXnFW?(9Ibk2#M^YBUPEC;#Z3UJX+RU*MlG z&>byKd_Cyu|0liG^$DEIZ_D-8L}9Dz6(F5dy7&g$UP0_K|5oEbfg-JATEMa#4~PCf zGzl(%>!^cq(za!8w)~0ATm6er*JY$bSfHaMv#e#$*3`OMb_}p#6#CAxCfw+9tV3nukyrCHWOe7Atk@TE=9U+H2&LM7#{jD5x&%v(+))=Yx4bn3FwXysR?JL zK@n^B4hT5eXC}@vXSvm*Se;^FTISlW*0#UZ`EhbIV(#BJqJp>5nA}O*-^DhP8H_#{ zWCCmGzXJq40)`>KNtuX+9alT7LCtd%UR6E)f7HHd>etC2kK(zVR&+0Gu{!C; z^mkUt!@%ZgV=`c$`kQqiqSA^iHit_#<(gHM z(^ye1Zy!b0t`9$qPA2s?j?83u6e|?<3P2}hYHy+ox?GCXzeq|GA0$vQ0Y_Mqi`c>D z0L1fM_ie%JXyEA-Knj`{e-J_YWm2|;b0yP=M33W3T&bn4RaG-Y=VY}wlv2DRht~1@ zVc!$eHerd2_gGh|pqs%Xuyxuk&@R9oy}Gbx{=n!$x%0T8r!}E=D4u!>#3}&^0E6}3 z6(zHlQ0y>~g>$BVlut>a4Wov+B`@!f#T*tvO71XFtjBG*G1%8w$_1TWsrde~1`9Ej z^a2G6PIJ!e-IU5x01Zo%-IYVoD)uqvcrpv%8651C$j+xfMBXHg`w2^6zcUm|i-SlgTr` zZCy+@2o@$KIh=RIKLPEMeHr0!T$$ueuJG z-nrDPiSo_1lbNESVRN}#nE_-9h-e-m79tcE=E)YJz4Lqghw|K)MU2j zH8TNr6pw?+$o+00nB2krXI;m}tG(4_m=fzxyyGB}e7I}r`B*+K5zB)q+)PSvP_#3-`z4Te?e55u%amD)qF*NE58 zsB22o3JH6$k1x9YS4;!phICL(-M14V0v=Vh?oR=6bus#N`Qz^7;P3O?j1;0Z@ZZ}n z%ZXnGVdYgotxzv+;w)<~Pt@h=?)Vqmfu=98rcNDt-VJ}+4 z$r9CY*B$GP$%d<9e)0PF*43l5JG3oEWg*DWBRB%-6diejX>Y#&A<2sE@<9*6M~Dq; zDrR(zCXXIW6`&n`_-A<9hSm{XdM z-@_`7MT7nnJd2P}`suX6)D+rEq*?o~;+p^&Em4ur+lop)XT?zk(XXppZlh(Fx<5rSEE+zr9bUraI7)Roa z+(gN&!~T#0a;mO#1Fe!(VLM-2as7lM8#cJohv>9(ry&Xt!f(+d3DlcOyR$#o_dxd)oB^oN({y$Vl*yWTzj6kunujP`qSJDt0{SJ~;KNmonATcJRS# z{P%FNPMM$sT-1q|qPwqeRP{E)iY9#~6UTqIEWRo4lhT&c`yuAY*;3a2-bqkGxPjze zko!^$ka&TcSiyy&B(y`+qst-c>cqDwWip7|$=~C7$-PZWA~_+!wYYsStW8bUq!D^~ z)Wc~Z!bj%)^Gn8F1_H@6&oT-6S(DhW9pBIH_F22y&W=0i?=xR5->1XC5wH#NIV6=M zflyJgMFf-GxcxNgXqB|5FvZy=;@DZUwbg{^w>B*yR~2!pb|g^oNW<><-AI0Eya|b( zHpif`<*!OvDWz;I&-pO6=9R?^hZ+SE({f^3zl@3T7`Q<0Sq#+FB(Y?L>XDC2O@_sE z#h?8?r6giG$8Q7A(G(a`*$#}8Y|)^JITt1C!=op}Y!3Tc>Pn#&c*}`hlqmeJ_HQ$P zQ!Y?b_UD#1Fa|n-9sX|%bqJAPK{sOm=G5BIm7|Wbs6}sk%#E3R%uPf_O^lk9%)(kE zWT$kV6vvrh!#y$7@vYjcBYEjUGoc8R+oQF+GX7T&7SYdGyy8pf^m!iiRF$1<4f4dB z(lQLmtlNB6?JaKs4m5oDo`%tA1Z0Ozj(D4ZU_Fg z$>#e1N{Gfmd#WhZ867_Z0dN3t?Aa92?8P$lxVhj1*8mP%beQ5R{u}>{+NU8*# z^c!L}oxhV7KZZrXt!A5f5&UQQT^If0Y)4y0c!y5NvQkhuZNsu^(4s-&Uq)2>Tz5#A zdZTX2bsFkn)M0pzKNdak4)sv!#mq*1Oicq6Nr}a!>Pcdk_rtbW3%3zy> zQxmx19*6J1U;cLfRf2!)r&fX+AJUKiw;?lxXTxFH$I@n7lLI5J$0GAM;+Ekh9Nm#Y zBJL@@#7<9|PY_Q|VekQ<3ueyC_^F-aYeKGuJdp`i4Ah!KUu!h=s`2tJd&fx!PsRBHE=24FBzPMA>UYwZA-KpfCn7|IOS8vEt%SE==oEp5OZ)ZQ!L z`w8j~uHT)3dWqnSnc$+Oeyd+F9IC!DidUm*&M=HM+2}9L>3Jfx(%wTFc*CjBLk;zF zl}>ZPrVSMBb9kr3o>HN7kF10IpH)5E@oS2eTQq{aG9P-nK>5N86N4{^dWus>@tw|= zw%5qeF7BWo^O)54+Cp*rz|&Y}hHz*$0|$8x(I(&U;-7TfrF&GEa_r=OYtn*?)?)uC z4WM$)Ds;4cj=;#kIc`Z7&D@LdM>hST#`s}~Qvjc)M#rZL1kY}U_Mr3dpCU{-<>Dn= z(d88NrPKxoSp}URi95&ary%n`XPnC9RB%Uip5R&bllQoZ85T2$e?K;{>Oy?bBa!|3Ujf4EU=T$2Z>`L#8_Yf}1d{fTs7hlW5NY7-RKqr#tR=P+Umd*1;^`-}n zazPIrt5UfrK`6P)-%KxAvqlsihb4eH&k@_pz#njhcSY7DL{U(<`i_vp}7~DGc`8ViNvWhrRjT)XV$_1WOr(k+rA+59L zcW?OzC)0t$u(h3{aiVL;mB>>`=XjfFr|N68=pm2h^Gv`g5B|R!3KXSR7{8@LS><18 zXj{FcGai40x8*gzUy6`@v6RZH_4u7YW@lhe=)Im$v!X{I(F0x4S#m7pc83l zCR+P-mJx)3a|AH3YVFgw+!+V-McKK_Jhw6)khFkvQvkoQ*_S51JiqsnqKidE7X^)mUy6X<4y=7-t zfta$_A*NDQ$NmWVJ`fc@YzdXo9%SI_Ex|LAf+#{6U5nJ?_ZD3!-`0{}9 zrcexdz7?Y(28WQGbQ5sZW|*|-RsJrLv~78HfCQzhXX5_XBsdDnQ5^4Bam~wFp#YeG zST$zy>7!{;drK6}YDcw$w2hlu&g-lB3w4fUbI3wt4OCIw(ASo9@brXBr9UM#^|yAf zG=vzpoDIXve1H3o?RiEQ1sWMt3{e2Algr8sQp?%Xs%(~vbec-S#51?f3C#bjaD4T$ z9ZGJ6ZW`?5AGGM{2Sfy|uQBdckJvK=XL^RV)*8PfHh6wsjpg{g5-H}<+VP~$VTY!` z0aoVeLi>B>IO@9@s;bdi3z>I%gR=-i4*M?gE_pHf7b?JWy|Oq&c4ks+u;kO-SUti6 zyw)4(IO_|ogSy2z1mQ*dA7;{G3r&{1wL81<|E8@N#2f(|&vFS&BFMo8#qu!UAK&t8 z7$Z4Kf`hw3ml(}R$> zfU}0RCbr=;2EB2~oMT1nM!Ft1u68A(78WXK(`mYJ!*2CrQ}k|5Sq0=wWhX&nygrqM zBo3n1`BWnO%!m`|*}8{7vFaxKCVQ5kg;^sstIMn&k70o$(^chRVeYz2YUspL#w{R z%jxOwPRm`3+gyESBPF}CwWqQQZAE9KAAibeInrvSbgL4qZCO(RYY?+$0@cl&#dap{ zA13#ujw+Q?^2?O!QCgn+Vm7tz_mhTo8TNho)k3?NA7h^vDU+gkP-eIQHy`Hve@T9~}^&DmGjVyeWD3 zI#Jk|@i!3Hhsv9Q%a3NG!->}?%-5_lV0bncQBc_t((=Y#(H%n2fY_UhwooJcs>La- zMYB~`7N}#Urru;9F%_u;R&h?4V8`Y<>r^^%_aY zVacuu?Y~^3evE~qvy;HQyBek8RXQcPkf&l*>Sug!QV)xYJS_VOg|;*lzGuph?7Fe# zS*->I9e9Uz?K@=gg4}urqVw`-=|zy5BnR6CVMcqkDiEE9NM+5M1scMMvcRz50&9P6 zl2rhS@}J*Y<7q3t)Rmta`8FU8iH1@@aNoNIGc@2KR2m+ZlpU0U$zx>)ws&{c!BY zAujn_-)~MOB~|Z}9{DPmxWWT(Bq#TcDar41W3#T{*yd#Nw=$bu^asPogXIaCGA4$9O9p7vQ41n!spov)tP9!3 z?hHTg0vLgv?^@dN(OB#<(&g8x2F-5~lbu&sOxg)+wTrVVRQh#STq}Mr{>N=FPO-bY zT96au(mV$={x&ujle^vHp47OxIPhVrlb{wS(QBwX{cJZ#ihT zwaUa-Fch*8nkO=4I@Q5JmEKrz4b84{W6#4NNB*DqSX9jC%?t2qMNA%2oEa(nO$)*L zb6Zv~Wl8U=CjKCEgKJal=iCpY9i~Xl%mPxlJrtqfd?;G!3qvOlFYzM-{`fv|;L!m( zm`y3sSC45uPTkC7ZQr_vis~6$>lo1Kgoe?|T4cU832^{`h&>zqt^fEi#-c9os!aU# zxm{O#Nv!l|xBC>TrQRkbgKy-uRTqs-x{_8dkG|F%(t513`E|~50t8q43vIuKHUilM zYt&%L*5X$#!LnK|rN;=53tpN49^?8LQtY@*Z#vmcag`D2u6v&Y|L zOePPyaA)cbH1$LCUiS3l7Uo1>{(x{`VTSZ!u4E661zc^~K^SW`k6PzJ1pT^1DHCs& zY7JcM#y*)u<4v9qx4fr$I5a(CuWtV+=9X(5P=2I2(hu*+P-pJ6=!8EL3-JbA#KFD9 z^g^H5_>?Q$1`sO z>4Xpo2He>xH-)Yr`|Z)I{>A|oLzqLl7DISLMp&z;Gph%$+Da9l-zs^|BpyU%2}~E4 z^8X(&Rh?PO-n9+5ZZ%cQ7ASpGBy4T4Lht@;vHX)V1ks885w8wqQlx|U*KhYu>?6vyVwmj{US_MM1<^ECj_DVT`-*oZLU_UWABjn+ag4d zXpm_F*%5<-$Li6%i*5;|3#N~I<2;uSicWF>EGjANi1mo)WRV@rQmk;JtJpb?dyDpq zM>ANi*iCsF3|<;wN38XxNvrb`qc5op4pDqroRlKv{DZ+U*S>XTogvTW>Y>3#U^~4O zEudTQrp{2u0v6=y`bsC{p5^|?vc6dDB16}v_@n9PqkB@v zB`@x_+>6QT7j^kk3AcTyZp83P1I0&_r`1bZNb!ABNv?e7$ZCPz5HWDzXtNxAk2OF0SQ+#qvLHqPRY$_RNV`OsjYRFhxnXr_seEIEPEgURQ8 z2bK21I^#zKG;YY;;}KU`nF2-RsL39wKYNLgS>3vw7>e`)t3tB?xeHE+`50@nP9gbf zw5>PmM{oDr>=(ZhV#f4U6j$PpVq^xI1B+qMSgj% z?v*Z~*2kj#dp@F!tQAG~w=mE}{maQy?o0jP3ob{y_lc^w`)2c@wS?vmc!&c;PtfCH z2xQX1Kj$T}EokX+anX=&cO;y!oNUkIxkM#s z>r7qfqwvYEH0UD>1#==6&=-Dbb;Txz`1iZKVR}5j&gT4pKxKrXwV;(%itvmpXN@P?T>9Xb6E3a zfDNn9`DMph7~#q`oyqe1Xo@dH+(%8sI+D5EmItzEQQkJ+crX%WBSTR!o|Sn97y|Vm ztAUb5od;e!8EHxe(bF?w^{;(7i5M5xUzubY)DZH|q{fu<6)G zs|%WlO2oMs6j4zCMQ~PIQg&o31U($cYgX6bX*@3sA6XEz+-ZV(SaO1cLZ)tYc`{ITE*$T|omDc3`DU4z z6a7Z^cPZHg_8E8EqSxsI|5`IRfx8{yAM^UHx=gL*`q0g)E@m`=r%nFdDp7w`DBX|q zZOXPfXwe9Wvc9xv{iks|$;V~HnIlYM2wD`o*^Yn--=3&u3*JAXNnU5i8Kun@$G%Jy zx#fz_`HwcatQCCqbMR75#;3WLQLcEKnzt;F*T|DWM8axzz|w%`=bW}$KK3cW7M*Oi zH;~m(t3pU1!@PA##(oBq`$o|jA9)@bLT<(SKVmu&6no%-F<-yRZB;C~f! zL>ZqbLGXZ~)1$}Jl~29<#$35HCVnvS3r>zGcXra!2yq^HyusX*a%abGq#md zrb@rJ;YLv^%4zriDSB40>MQlG)rLFeZ*b+}Ofbwp8up<8XniW|{0Ph4Anyt`E$9ZI z;Hq?9dwF|CpwC`#kK?_3-ha2ZW!AuyB|*3HK(p>(zP;6!(M~U33-gd^$xmMH3Y2Y} z1|q=v-=Aiw)HkZ`ihNQta>x+GMkpz)F>kKoY5CH!J2$Q=Gnv^vgcvy0m=X z5Om6D{K}twS)M+*;rBd@3#;W5BT)fs;Rxk)`PDn~w+I38U&MGyEYG}3?j|-r?|aMv zEOr6sKawIx?ywz3c8M#m+0`!4Ld}a=N?D#cx!_4P3EGOQe(7PpeUaS-N?~~I*pmi6 zb?grW6T)sM#r84SYmc8iH-h8%V0GL^FOjr-cKNjZY9{?NshYZAEFJ^44<;*LYQk>c zcO{Fe>tb0J|Be1R$I|6z2oQe2?LARC=(?Zt($!A@ljc7Ci@ClMBBJ0+}*B^BFUakWm}Ioihx@93H#9n+y+CT+QD)&ND* zcF_t7Q)+euMU{B@TNDMl8^Gx7Xn)qXF=H`ZWBWw`q)Jz4LqZKGSb6LEthfA+wjlWb z{Dh&k^b|O{>^ohXKXV#(8-6tgXa0NV;KPu9a&6f_fkVzD%7aXEEQkAft$TH??-Z#w z(M{Hqqir@Y-`qrY;ljlIs+bhPKARDX(N8YUOgfWIs9LI#;w&(hZyndsmnq3HtaqOu z_fnrvOi)D6RB*@Q3r*{~nO^&Mal|8AB{FbNP8T_sTpk%m_NZ?OtL`X9aMbS?1<<}& z96uT*ZuP_*`>m-}XKxFE4DWNCBfgMD;>EUe37$jFj_8{h={KH+gl@WfUk+Aw;-C(v z)1k)SYTuN#?;1+Ea{ylAR~>#(Kv!HfFDzs3^*ye_De1lCqSspg!Z<3JUvCYXP%U8A zyzULu6Zj|@YVsq10v%e&k{7yi zQY%d~hz&j{?fE)x+`3WyAv{o0dK{6o^5pcFlK8HHe1e4W+nt{&I5_7U;x5wj2=2X? zZ8_paB*dUehZJ-}RQ?)257LgpA4Eel2BQX7eBlGN{MBWU?llXvo z^tZ|kx^&9PFj+fMg9r;db9qVy$@a8_+bE*6)A#c9yhVt5y#wuEqnFp_*l|lPEp<0d zHH`9=bpSo!N*@MRla!3r%BIqe#Y&5TE0*WEji!Wvu`pHHK;wf)Lw6InfqMH714K6} zm~eSwt_P9F(2D4(%PP~IF35`7bneEwrG2IbKMZi#GmaPM?g0{u9~UYL4Y+<*{?QTB zvSWh9OJNcfD$E)FO6;*q4jPUpE&6)q`_SB2g0O}W^z*+5@D+6)Xu&D4wOjoJ<(sW_ z0EWZG29x9({?B0qVj}mUmr^$oUxHW(F;1v{{SDM1&22N$qDf67BQleW0y_MuIcvOx zf-df!CEn$6W$`D!sSta!NascMb-DH8A=8`t9&nTh|81dhK}DUaL)H)MWao>h$*M&8 z`8Zt2fv1vnQ*IXMR^~3Pj0;teTj`UxiKljGep=tIkdW+2SG;u_;Qd~&bpyr9`4>I- zEAQ<#F)|^Ha#Dbn@sN3EA$1nrt;=8r;*&3^4kO@rN^A(8bPFMiwxbQVpbTRH1bJCd z4KzTHZvZv@g}kzqYL{Wg{T`z|t2*hWVb?6Nzc_quXxX&3^~9f9hwwx!ti(2yM5J|E zO5~_8V&s@Ryu}?6_fof1YNSGx*c$|l31@j?McF@K9`~lu!`?gjJTJ*w#XWJB2U&lL zQ=(m?NIG>*yge`Ie&DT@yXQYf-wdBmL~#J&*K}iYclS-zO+S>H1J|BoP+4g8;?l(NE#_S!JTh6h(XL3nbjfeajyQPShZ2(!kaBhgSu2uhW@I>D-R6 z)pRz+Ty1t;TXCc|mW^ZtF z{8eY(93`WNe%!c1v?APQf>2+wJMX~>xw(L28DrNQ=RS(DxAFu%*(jnHtCZ1Mp@~VA zOHMQhh8jC(>Mzp7@n}1YaBIr25=jKS zux3+%82HcPiN7GvmsJ6ZsQQy+c1W5Ir#A|_XQ*yUk!0*>y_5~>-(=<|#tk_@4G%xS zWu$(m@QX8qM3dS;z_w<6Lbo8Vvx-GG!Qwl(%|KACp&+Czsi}|9W`NXaSbZZw!s76A zht6j6l~j4Q>aY@*C*yL1JUd1e8~>FK+Gt+XT>|!8nq60FQkvMTI@kZ6$0_6zoFz$1 zLSI`ZN|Z4)6q%6(1xhPly>{dICScHVPUxYy)_7XqJ&xbnbh^+%Vv?U!7HLt+_O!eo8@Diu(YFNH=y<#Z z-QYVutpwD9>|U%9W@8Ik8bxZ0-$vZnLPz~oZQ(`O_^@ItG!hk076|PLu)JN`5m@U9 zq?CV1!?Ik)+h^lBdR_buJ7u$*TNhW0@YE2SlHD}Pf(sefb><3IcQ~wA_-A&T9Som0 zYy2(Z7X`!!la+X(+R2JnR1la$#upo@D*I`3YkLE*bBkktadHG+wQ6P6g#?%# zxBnD6HtGqMe-4XIB`(h(eI1pS`@`&hZhs$nRD!L{l320W3h>J`%HG9A`|s02b|!pa zR6xR|*-fbFx;%%2DCMRwk+D31+Q@GU$otmh1Z|_s+e)u9N61JExtLENLNMEh=u?vF zus+@)!1CfSE{6mw}d&1nC67 zuQR=m>r@8+>4>Pl-uq^1HJ@4es$A!%y+GjrvL1^<_?--q@Ye~US4u4u58!S3I?)3( z7Xx>NoDXPh@jW}8HAN0WI+26yE}Au8O_#U3E4GXq2)-Fq)w2|RT1slat|d#=S&`04 zEutZ}@g-|B(ri`p4;SuEJ~oLMGF`ZjX$hNHk3k5Q6XYv8m-Q3H`e7; z3ePNp$0GGK`@ep9l*7ONM~N=`eyw0tedo1&T3GkdF?1&LsdMiUMMI6BZNVUg+ z7A_7EVC>A8ed6Vsr*QeQ5Ns|h-fXyC=D98~NwRWK-pEe2+i*2y!cXr|EAzv$`un!8 zxsD)ENN#N>>Ve-Q+Dcn6MmJ*^_^A9P^ikLuLlme(C{!%2FLT!%@c6Qc`#-XjNDVdF zpIS0{1LJeuX0}`ERZFN3@3lYkekHi)7#;dwzQaq__2&4d9yTr_-oxqO*v?LlB3cUr zw*Cg;lH+BKmke~*WEa+EoWDMsI7#buds&-|(^Gi8oAHVDH!GaY=JA)n4wl-R6Lnnx zcJG|v-;>C^r8<-4`dE>}WCcWr@?S&Hej;Npns=meGEKqZNaFD^Vc8dgu{!FFWFwEb zRl;iBi3+bffds(M&G)1D@xF!b=JHKR6;8@k2RdH{W4V@d)ecMompl@Lizxku4|A94 zxI>SM;;Fmh$uUl(Z~mcE`~fe%{soKd+l0 z59Lsl#W%vnS6LkAo!+qSi(ys6HEZ6lu#u24A~z2loQZ>2@&$ZeBN9~r;-txbCW?Q< zpWDL-<%B%{cIaZDW?1Eos5Q(H|M9r3zVM$G440heh}2O6nIAA5JFFUp)N6tV^cCbTTxv|< z)<<5`YD*>5Of7z4Hgx(pqq@KMOUetb+sWAq2dAZ=l_4!b1viT)NGR+pv}mM z5=RWIsQ0=1=pZDAXIEvB7}cHjXD9uSmBxoPBFWVCP;GI|95_LW^1_qFjKDj~h6Eoo zb=OxCAh>GEhX5Ixrp&=3Mp+QD&iAay1zby1y-Fhp4MWHXas)@e7-?X5EuY`!{;QXL z7oX5%=Qn<_6$!e#=`Ke+Fbw3CCCiRU%FuWG|Bfm+vX+m$+jNmFsgrvmFk_|$5Nye&HC%M_a zR{}W&J(6>xY=dlFh%DT_XVXjdCgN3eS>ISBq)^h885^3MyP=8w{v<~AITV9v{V8C{ zs>!aix#$6JaXD*sx$q{_?NnW6p52&Dfy>I*3LD4rKO7eP+j~lANd~#0_dc>96LINOen=ay5xol zu%T~$2mc&m#6}F$FwHxWo>qUuHwO9+psc>Ja%c-ZYa329#Xd&(P~o8G4xVaK`& z?C5SdY@Qj#e#p0o65so06}J6}5m#1V{Ph@%Fu zU}pm46yHKDXMfIJ7s-9tvFf-z=Tf8CIv#P$eZ<^ps-5e+BnQ60hLNer5MBpEp{og* z3__Xg5KP&tjSQ(m5fDaZWv?WnBL*0=DA)9E`t}UFhcMh^Tbp%`1olp@%)UcL7L%6M zgC6;%5uT+*F$fpnvEGp#u67NgTqr|2%{M&6F69`p1^9cP+yNR7N7dH#Z^l`&Na{$~ zEm|z>37OEznNX?v&Iz$^7kU6yhFLD`v;A-Zd(up`H+>2wke+KGj$TWMRMpmk(ftYb z(7zq*Q;SDCa!Ea&mB`1fFn5%HLI`nN>8MKlx{+ z7KveAmS;snx?|PP?oJi~RS6%I|8awnPz&r~AF{v!I!De+ajPM2 zNkWqXr-3VeVj0GHB_dk=-#Z{7+=DBGW{IfEwCSTtO#bXQ8Q^^;6dXbBZcU?y-CI^D z0i_cLF4LodB8^nMurn49kf$|lPa-hP^;VF3`#uyFMg3wg^aEYBgW1-`(;yUiy6EU% z>xX~BouMvS5bA8IoTuzD^B#m<`hxC>4^V#US!;w%d$KZuV4n5xU075H5LE3b@i;C& zaekmkgB-?zAUyeGTH(#PqA2ZNx15=pK@vXe9hBFH<))W1Q#Jz%Z6^;&u2ku+`kNSU z<(niOO_x~5{C4gaF{*!LNIu`QvpZn}WNLq2{3bREdcDlxn!R10caEtuY^JP|rUm!d znqY zR9!U`@EEF?CFVlK*Q;M*CSg~D`EB*vM&85FdY@ads)Ed4C7vc>$qLGkDt`4o7eRjF zGseGuF~8NXSonz16Z<-KCGG#A>8k?T+`1@%;O_2P+=^>~;!>o=A$Te7R@}X~LvbkX zPJ%lWcXxLv5}4e7W}fr-<(#wj+Dp`VMrfkj(bsiC+_eatX6uy^iLrrPn6JG8-9vVC zEEHRN7BuZpGJ7n2A{ghP9dOwUN(~m|3jPoNPgKtXE#1dQfaHfRa!lb&{!9>+ze_ zkF-#yQ}zj3p_uJcysk*$xisg^qn#-T@T=6UW5~~>u_I3eMhGYXEO!=KXy0DUHPjk|Cw;CsqH@`EzZ5y|KHU@>PiU)EIBZdNf1PF;>=2H$(g( zj$pEea5FJgnGHd$4z2F+^Ti*JjB+<*5CV!RegbOh2eTGtsC22G#t*NLEmR-Yn*G2E zaGY3uRIGsO1Sp50dq}QknalplBK95O5ct1WDhz`o$DdZCyPmcRMD7YH-*sAF_Sj%q z_uoT{ZHW(MG5>Tl9@2#7M=q}_j@#@+ev&4A>OyRJA>Na@#AZphTvq{)wx)yV9&l06 zov6ti7x~E)WeXJkIJnah>yzg+xeZQ5Hr@ZM8rxua6#wIP(OiZl-L0=^F*$ZmtnVtP z#y=eDwMeh0*ae?ItYTs*jM`#sgkpA%y<4h&^ekPyQhOYrXUu783Uz$#~=2 zBidR;rvn#~-ijejHIKkdDOu&|o6j^2WD9)F5Oy=U4ow-B3y%JdAWmMvgK85^7g`R@ zNh^3JoO(}ri{}cDW1o(H?hVKMW?YTHim)w|qFLaiP7oh;!1s+vYI4KN?s~_J;hiV4 zV>BnaHR?-l@u*FxOZDf1CFf0Xnv2$z9=_ zM5e2(wmthg8(6hoPO=^c$$psh-rlu(DQj95iuXu#Yax?>Y)fSNKi|=qEpU!w%T{<$ zzX?576RM62aPs^5#w9%O%c6gageXd}5ey8Mu6Ru4ur+a?45P4Xv@?v^%ev#Q{5U?p zWxEN9V6%DKQ#f%W`Q4wp6DM<~|Lqefx{Ef1YS12dZ0opJ$tPnTlcvSnqoNNY6_HN_ zYx$*51v(^_O@<&@HUs+X|89xhrMc{YMTVg}Jg$?mqVhc}aw{J>CON4$Klk)2O2Vx! zQ_xfV#P^o0r0n&qrAy%6+0q4cqT0TAWH|h{XQBj$eyIWtD9qD;?$Fu@zM{{<#Z)-F zn2BK`kJO{5GV__J*(vj@L=_!S!>^&u)@^~h_-kJtfg`K(04PCa&y;93x%mO z&&seUci3yfUkO3c_agL>j)G3{QtV*DQ>-9&THMBOogWS#_&7sM`2Ond(C@D%j;CuT z7-v(i{jg@_>Ac*~tW^E6NZUb1P?O^`*5mNi+~`{@e@Ci2@N$l3rgwZN0{+>oxQ&}m zDPa}A8&8CVF}kuk6p1DDRz<(gRPwu2&Kx%g_lLVJc`JlBPnz|BA1{ST{NKl7A+Xom z9Oy2RQ&Wl)W6}|Ldvp*7vyaTJ-6xu}nFA#%k(Mz?(aSg)Y`+F*%KE)ITf#v@PN65 zS%>{v9|B7c7y&6?)((@p=Fs@7qy+KYs3iE7Z9<8T^Q~LAWJ1R(E1TP-e`&qRDAfZ z`K&!PHG~XRF*NdK0_z@XSu-bYPSZI266*}Uu!cG^2n}87XKU;BRtPt!l2?x&n>G$= z5b(V^#=6AMI{|6S9r-h3D*CWw|Iqs4CLY9A`4$73fCC}Zrqj%y*$8H^$huL#TWxQ2 zD8Dzt(2a*MSmhRmNaG4-)~{tj+us+CjpIdR6mUHm*^FHlGldPtxc0GdkyO22mrFBW z_2eAHX{L-{c=P_v_^pS&VcJr9Bg)1)OKBszY>kAQ#YPzx_W(q=_Pk#0Qzjtfx!Dkz z;Ky8;c<9pGkKUOwEWts5NvK1hZZmLXxo({rT)2Myx<_76ot^RaF52?ESPuR+3kr*b z0eNg4*L$TZ?-%V-JdA!mp7frb7-p(y#{XC}X?m>o^aA?ffB7Hfo|jwY#x#T<&{XBppv~7&+~4g{+|OHj|hicy2Y2^tv@&wL#b6E(L;#Mr7qPy zDv5oc$bWZNk|kZKuw;KW_fT1{vt`M4nZx)@lU(dD^*57gJ&0|$Z~hBtBXG96yw~?} zT}21Tno*fbuA=-1#2<_5D049S&~h;+O)CG3TY#@9B4lp7|y3^kc+$q~icu9A{qmQ%|0yWob+UAinA zUhevj$)AinPlm*~TeT=o_Kd*16q9QNYFvii z?{O=cOu6vd`nyPWNf}x2M|HnELWK@F_k5h7L|d&(nO@txD8U%fEFOByqkqW?{LxxJ zRjw=qcqet?KO=&}(lPKzX9X}eWUZH4iK>W;l%Qwv!?{i+T5az5|2s0Nz{8n_d!0(X z3)i)nuUsy7#l^R!#ebs9dJ^K<56^dUm~3kJ)_r?HqsMy{jMm2A=o6GsjyOt)H%r=3*jN4Su9{L~dCqEQ>)266P{i_NpJQkN3Zj&sfvAw;+Vq0~tiG;n_-S+> z3ZYsOz80rsK$$#BdsiBkTrA3V7SF>Rk%d$7G*Ah^@$(o7Kd@Lrqj#DO5 z?ytO_|4lh8%__lOrqU>)T_i(EU83h&=Gp=WcfARPDj^V|uv{WQ!94QS$3&KXI!z=F0qyi%)_oLQ zkRDk{4qV3ez=4K>V7lXP3IHgjVIcH_?H1W$^DNj1_ChcL+Ncr^#om0;mZg>>?@<6QHcuLUVK)uiW1}ex4)Qp)Raecg1S7YdgaOL zMO}^vEabE~#CQlebnB>?2?nyAa44hKPF@fcezZ_NC2v&(B;Iir2WGM5t5V2S4$?C* zotpNBd^x1=_p|CO|MBIshfq>vz6IR(FkzQ;QP)i|Hxbj+3S(P``~i!vIv5WGT@`nl zvZ3<)fJx(%x|l{tSbnht5h+Mzp2+o(Un(oC5w42^NtBCOb9~73(*W+}k%}A7^cF0! z@_(WOsln17(Tx0%2{r4%YxLShfERIdL!OUxz>pP)j_TA1(3O$kAj~A>lm31h2Q&py z?47MPqg;J|tmYK*@CQ!*e2%Z;t!aE-4$wGbX^AbgZngfY{OMPuEmeJS&R2ZOq2x4eKZO`yVs=P#~vL) zf0CH2lqD)!oa^FRUvVpQ-w$k#HdU`Gr>^p3n^mKkO4j1mM>u`!Az5O)FS780^}Z+G z?u1bNu>*|xK;t87Lh($RRsby25EEb>{-pY`p?N#{xA5Pdr(wJdromqlxXt*sLYLyU zyTMM_E1y;Kxd?kv7x%R4oD!yEXzje&EEDLhC=35&YpW*{10(Qjq;o#)Q8AGv9qg`g zS$g;RUmSBq9-Z+1ov-=H$U9EYM8*)U6HWGUt;1R-Hpq2rknN%XH-WddXF=?HDpARiFjiNTL|b!~7>rmk05Vt(v_%4QR~$Vo-_PjSz}|5^ zWa-Z*+A>o_mS*Z2d!Fnf$Tr_OD?B$UPnDV98Ex2~zV2AVKK^E_*CHf8R?y|hYaJ~( z2^FbmYQYBbPtczia_=M6d@+`Dz)4q&{J{uE{^c?@ZoCNkC5DcQOOz0WQ-=a^3`dju z1MQrLyT5a_wcnV{@*3yYl15n!~_&#nBI^gb5ys^LMOb5_o-I25$3A?co!TtiVFf1MBd>sRsPo1&|c!fa>q=nq4giwfr(m|I)YJ#`7cZux&@{8p>Mo9)4YpZHO>#v|=o zNGgAWyk+b<2%kf?2B2yc!E*W6tb(+^R= zs&Z4It_NP2IvD+1Y0+MM#8Flg{_X)>s_f}l4sk&M)9?%L`IJ zc`?9ESMskSe|8bs0ciB|)6I}r#gqQRbv*goqw;~IK%xRv;oU6qZ*aoual(Jy`-P(_ z+{t#;bVAHimhvs~q-A7?w(%8fH()jxSn|P*e7Dk0JC9#BAQW3mCj_;~Uqq%Qq$-NRKxxKDnJ=mPiR{5)HNP&)U z$?Zfmt{aE}%!pN~b`g6NXD=f$zCx?Qk}ij5!6{7Miq^1y=m)X(yTnE8xVad1a~~tM zJ@d#p6!#zXR!h9aJ`^qS5n0m32+Q{AVvyaKU;h9G(EFK`oE_}(%rK2cFPobCyCOD?#yu|u?q&UTX=CDx1 zl}GPb>DeA%eDqax`$o&Wnrk;)#n}2Y^YD(tq%Tw^)YSI890npjRvV-x?AQ|O@*A@l za4PN8ErwfMRa>!baw^QH#b?kGo*rUUl;RNWl(*phfLg4Omk4jnNMz8q59D6$+3$n4d;xfz=DYC+Uln5B(a57k?Xw zsyJ?-DpYuEec2%>@H;<)?;=W_ls z)+1WA`~#Y+SzB9(R+gq--qhn68havG#ySQ<3g^c!bt-C$PN4+d!rj}IN(XzGuz051 zRGY|Ecj`NC#Xe-+tR1T9USc4M^b28~T4HP@KDt8}Mz-!bFjzp4&^(c>pkr79)q27s zwzIo4IrIdr7iPaCCQ%&gH$!?=p~YBlmx8-^z}9mLPg*eZ5*u{q-wICxyyXWjrtaB_ zZ9_ry&yJWV_4w~S?ED1*R_~%TG)&);ixc%xdijj~g;dPZYIH>L<=iZmD`IUv4(JIY zW&sg$bQV^qtx8n~dSdmy3k&>bCgy<#e4*E_{`&eyxwm)UYPT#Bz`78jU^I$YLMW#( zMUz|jduMOH7uv3Nw;_JM`BdWjkpFKky0SyHqMRJMhc^i}?tJCF9K2AYdcrti{;@B< z`fz^S@J;IcRdauLGat9pj~Mnq(D6+sa-9b1pzA#zB4;d<{-iqa40Vn6{`h^DgQGu8 zeN*u%>9!J$tW$#UPcW!RU@&0vI$C29~0Z*Ucm6UZJHUc4k&{yXfR+He` zz5Ut5**EW{&X;2*9fTDGPhQIkSP2w)D6~XmzICxI1UOjAk$Wnot%#lt?+d0OK4Jq~ zyC^*WNoT*O7xeUbIv}+qA;vd{cgP1IeKCdxVHQ&G^&w;ZF+ma$s@tR)*=(_e-B5j* z*gRa94u|x9MqQPTowshN(x z;2vjSwb_`6PStcTu7RnUB`INGgT1#HZS1=k{{F-IX+)^vIFwJH&(VP3^s(lLbrcCn zjCPj3X@J)F&#@$q+gD@(%Q%X4-`s`N$u9qk{+2THf|jf-li(VIe@FRd#s=+IW-deB zz2+hUY2sWesGbKHCx|cSA}Kd*#l7~KX^2NrSG4=QjEbRwlo+v95*X1GY0oKB*}5aI z!jHyZz7p^W)!@QfG6FDGeD0C#7*g!v+nWfB=^vv3F0B1{lXK%;Cg z=9WA}heMP7VxLN(cfDHUmfv5t%#>3$SWNo>>54`{5eLrz{Gx{WdFfQIWT;LvKZVGZ|Ge7(2 zcF{lEkv zg4=T%J=02fC5@YcVFjM%GZL}BA>q0PU75&Ce!eCCmcL9=65F~*PHO)3f!M%oji$A< zgRnp!;`>{1-ix{GWMto8_w3zGXbx}HR+f?)fy}ORqvPT$2_wkkx-{3eGh&~IMR+9h zjGqa`LzUKp-`>EoMg(3^+)d3r4Z0uf}q+f45%nW6v3ST>tpqH`{^ioDfSULl4#%0vHKUdc` z*apJ@v`Z=X)Vv8NXXeyidK4I;4b{7c!J?t@~%#1x_o0eavo3p9lKR;cRb{V55&|QYae< z^A(Z{h89UP#6O_7kXe@7)1l3se1pLpCya&RMY$!~U^0bxJ3mL0Gb=G0mfoU@smewK z_PV&aC$lKHrS4eo?X{WosP`d}xc5L{$NFeWFF~@BL2=`9Z}LZ!uNMPq*`m)vEYurb zlIzzzDIxmTXD_GFpbabS$0^?|1#GL!Z`_efOYNryuM19aA&xdCVyPA)m59StltI6^ z_r7QPwuF%J^t49NB9$&1+?kh?R?bOXAUDS09}+VhI?_dzfi00Nt#yTAvsAZ?02bUM zfHaHzt>jFT_0E48LVJF`vh5RoZQL?mo3m>0uX?3@ewy8x^nogx(#|D$fXlfdQ@idz zm?Nr&$pYUU?O#;9E^bRJS0fUrzWv+xf8|y@dil94R@P&-;wmD-_CLsE3R>Q~-0Sy) zF3kP|v>^{!pEiA`Kq64E1nEtOC$?8Y%0+h6J_!A242_P%X;&U4da11nFNv>4oR`IC z3spIw11Fck5h!~q3ND4(B!xB-b&|-nRtwmKHd)STd6L>QuDQ5Ot(}7Cg7=SKs#mP2 z49bmBQ;f0s`Ekui>k1J12b(KF5AQE+@0Z4J>Kq&=SlmM>1jnDG2{iV zog!>FIV!u<@vMxJb@PY{H}!Q8vFa;Gc3_d!)WQ8Xt+rr-#gv$167u&>_Ygytb)QIY zEFC_HmZ10ZV&i`OctG7I_rRSMWvD(vXL^8miBsc7^_JB|gmaDX#cFMJYZ zopm%XAtL^_Xvt4l7d|qL)m;V&FgQfdDZTkb<-Dh-ox5) ziLZ=A&8Z-NKM>&XeRec>*DyKYym*;tocOo?0y@uq{{3!=kF*w2uKyV`G>Y^Sq`+u& zg25qVPA}*_fBOQRmwP^fBr+&*w>WVd%u)I^=-NnHFD;eJ zxKt)f{7osCWv5OO!Bddjcau(Qy!^L-LO_)^aF!z7ty^>kO~n{vqXV^QJq>br}=;l(LGVItWH#eIZa^iCT z9;1k~3?*Lix126_F?n#dXflu;jk(mI(U!9s>5CDWL|!LFRs0;QO=n(1bXfeCsodBQ z)8lfHzJsrwi*BV^Z}l^zB?wCi18AC95`H^q0>(lSE61X_sD@+-f9-ILu?4fL-;4e4 z%KaZe?-VSORCkA@1J8x|I_sf>1wQd{^a{jZtL(QEv=;i03oZep+Y&PwcecSRUprDOsbCAp>VcQ!M1} z$=RdjXnmpu9?v(YU#4|a0@;WmYZ+)iA3tWt1K%D!vW{zwiCbX$><*x`#|7GfWQOyc(+?I7w5&vhGI?iD zr|DF*YLC`d2fnh4JYVV`2I@{HLfh z9Nj~|)TbrR0xb+(EGUB0Et(VjPTWUpUW+DPc@At0$Dxa=M- zs19++Tj0c4Xknw}*Ai;oVj)>pza8Z9`SjxYdrRvFlhNHq7t#J(9%}r13LS{D^QG41 z2BGVD^q45PgKG5=>62Yg8sFhMiP$%E>G8PGGwRr1_xqJL&VWz_jSdClLi68J^EXCG zrJoJT0Z`#!Dgl6zzjqSO?k^7kpfpcO^mdqL6;niNVB26TH^@;`SI3q^px~pgW>842Cd)Tf!~z54YC5>0<>VG>+C-D~oDT+cK61}Qu(G@#-e)9UkNqJn zexMKJc7iNGUU|%gkoWXA>R5*3(=Z*%DF{FuLihAn*wJcXYRgJat9Z9Cy0$nyq8f{sC==J&lF&bNUR?PC<1x-r*#V`cw;BdrhlX8zzA_imrrXIp=G8p8iJsR8^84=p0KE724OkarOqsG8^W!%>$xgG^M~( zjII(Sc!u9=jTPb36X%84)iCRE0R!!Ye-vPkkpESc& zaSfsRwNCEc2^NCi^_*-!&oTzb>aLQ{6O>~5_>@2eP51P>Q*WE%PnU125(OGp2bEuLMOZhk`lR_Z4ERu4^az*u1OPRz~<#9w)6>%&Iz3=hmiAlMC*XlTIBW9W=tAXzuzNZ)h&OucG5@h;TaR z3tv)|i6K(_K%fHLqJH}diZ57nVuJ)WcZRCGI7|4;3X??wKz){Tu*7{B$G*aDx1>hf>u^X1VrAG^9nYtznk-W%(X#3L3cf(vCV#U3I0%d{S^4%!GJ zok#!i0(_gXUW6$Gc5Xb|3y5)vw{SotMTUGFgadRmRme-JQbt&`U}WCEQ;Kx8WlVA8 z$9^^}`CuG=VUN3op)zf!*y{H*6!&r%f}OuVT&CxO6`}LQ)UECHl3f2q`xWDy4k7ZT zF4Ks$K4;jt|1|vpuS<%X`Pz#%L!qFFl3%C4o-2xM#3r%L39Rv)u|z>TN=iz2tg-ZY zn}C(c^75y}(|uAZn~{4wd3hIkdBEbs3aKT25$g1r|8Nz(i-+^^>wc}yy?@W{%FeAl6Ufa; zzaQ7?Ghc0K-GE!!rQ~6}8JM>JQC+_Po2lrPJ-ez1kG_?7AD4k+L{ za~^EAgwu?+A2^V&`u$#l$iDQ_9IqK>dKGrhDCZ_~%3C=>_DjO(s;!J-6e-hj*E18U z9fIzyWRwhogdd_&2+R{c{$^FG_x!S~s)?_JgG=@{x9N9<^e-@ zI!^`&*R}2cDKWH=Lf6r1kyN{UO01UA%qj^6Z#79PKxJVupz(KQ;CBtR?*n&z)$nM6 zmD}n^l(UGL1tI2^X(cf*K15Whf5PtSyy;8LTV+B6rP|B-oijz?v>HzfG)9o5lFsA$ zim6cn1T%;%!~U7|>dG>4Y+Ub4)qcKhe_UQ9o8Z$kV*E`(guKj)R?gC&rtTc|H6Wp( z)Rk=;QWH=GY20OXw|hU_kN4j!x4r|$w=@V}+$Gs>ku2_jiu z*v@gPTdfs4M$JU$w0XPKQGUa@+?N@P7kmC))bZ?jx=_}a?5rZyI%$V7*3OI%s|C)U zsIsdp#FCck0Q9x;5i>#+4v6kR;xkTQtR>C!Q#<^4so!r%juUo)o|Exx)Qa-mD!C)XQ2fkF95S?!u~=SY3xWYG zb{c7SbV2J(T!LtR{mV(4G^y#t=hw!Y_^@PZT?msF!liaOtHs4=fSo8>%L>2qNjx?q zVC9^Z3boL?&T|P67C;On{iflb1rA5Ftx1=w{y%r4&Gpb5TR^9{yi%!9=~s>!HIlL?ov?1J!T+f%lOKoqy9f>cYMGy&Rp}yyL3A zaTt^c++{ok0F-ni6nZomg5E2K0tMYt=xZGW2x4jSYRDPi$A7ve`H$j=0dzb!ClWIP3@bGrCf4RIUpWXJPEcU3tweE-Iox@DHp zGW1<52Jn1n-$7iSS=*PnjVUm9xW`I+llNtc}rbv9m;}?g^oOo??F-ieQ zFP*HdkTZ{3zqnc^p8-FU5T7nF^JUIPl&fl<4R`nVbdMr`=uQ#<20UmR{hN~sxCOg7 z!T&2nE5-i9;J+``@T2>_u{)Q6mm18#4)88+&eg_GN=| zK}wVvC?x%(_8#$czQvpTOD{IV%;?H?j!0lu%)0AXdurrUTCIjwqKafKET;qNi(rCz>}87DDs*#HuIG^?c?7D?7kpny`(?UW ze_@R%a-253)1|>R50J<1Z2WKqr`!uw^5S~iW&fBO@-($}b~W7V4B7ASSNb(kkH`%T z*cE!GDkZ!0#9jHx%^OU;xB0KXx)sc}z(f!8-~uy@FqYGWuXtMJ%2h_7*diWAra2OL*`6-rhvUqqE5( zmz=?Wfol|ZC^=u0;8tipzO?sMYmf@+BnKy)gToHRA8|%e=uCh}VS#+{j#SIp;tN7EgmL8qJj%M-z7Oehma<$ETu&3pMGm)w!D zE^!8Zh3KHKkW~6DZmZIfXSOQ5Ad~33Y(RW#Xq4+nB28J*SZdNDeJN%PVM-Zd+@eb?@eXN2Y5x6EGe*|JI?lg?|@3;aS8#=D^SItC!MD#1r4&WP%GS ztMS@)Wyr1)X4P^A3eSW(3sOYEJQiZg8_qNlmSdAHxjG&@Jq}})8F$`n7lwDg-lV9B z;^Z>4sjvzESTXTm)@4hB9WeC0$!6(akop`%bU)K(OqhVgm`=q=LP4HRkf5OLtqn;* zB+TgNiuu?}%g8+Ob7z^Hpf4f(!UStXTtpA=xY3V+_ZF>=zD6IV#8f?vJDAfLD}IBa zW{_~G@7)9%R2;f#-2G(c;;gXT7%iwj))Q!b$%l#AKQtZubX}K0^L-Wo;#GBxE`?$Yexa%<}(fob(&78e7 zhMATTt{!@S10RTG()^sG1CutDOBjA{vJi&u^c1fk2um_$=yIHifF3UD@>E|7PTofdJrl_(|qa_0nQUuR_qUOn*EB07u&id5Uvzyi zmwKCKYP4gkq>kAM?VPuYq8mw|<$m70lN0ASQaRijrX@;w=4CKdERCXBz*=RhB)>A+B7WO90&@y^ox(&k@B8NNcz{37g|>ivvzjO#Z!~n=z3pNJya! z$s6q~$36*DvxG}98GHbZG6YN3aS&*h)K;gVvoRT|x92*Jbjhum015m0Vo{U?Rh`=E zh^cq${x~_vm*d4t^qZ2miapwdP5*u@n()({>9$A`XK@+yaJ1Y3uTEyf=QSbjw@u~M z-y}HIk)_}H#M~Gk$!)}Pi*fs4{kS?vuyycCh7(PH?wwq@Jb)!ddFl`@!Gs1tRjwgE zpmq4~L7A7dSFDjpn8O~?)&EJF6Jc{*qPuB#2~&&lJ~ZL;PeY@GX!jZ&$5(?f(z)Pb z&c@q6YuJ^2RtMtbioEFLyEju-7l@71J5OeD_%ujdZ;D;Ff!^1R*(L5vUt7!@o3+HI z!gLh9e^AB+(YC&qr6f&6xL|K%Fl9QtzWq4D3#zo+*RuAR&Pp&U6sLG~1KWXQ>4Z z3`msKI%%Vy?!t8VW$D}_0j>@!GvBz(jcfENea-Q_2$<%>X9VMadw~qR1qBS5QK8LU z2UOXKtj*^Fh0BQsbX2NX%j68~FcUlqL*zVHbvmN{+#1BJsFlV*Wf(>Ul<^`7`I3uQ z=}WF~bS?(Wv)8R;>1v%8bM7z6VY1GXu7B-&&u5P6Bh3?f$OR43?2CN2<}6U^Cv+7( z%DCPLbahYw_B(>A8Pe0p75S(v7vrn*W0ko5(}rrInW$Xp*K23szxh4q>!;CPw)WNp zeHoE}OZfjW{4rI~43uzZV_07KE&&K-VfR!VG;9z3*&WFBUtO4(cgcPN$`$Y-%hX{o0 zBcY>P7WTG%5727$#DL7S@mp2omJFP*2_H*~y+!n{Ap__GyTY zB^zcRF-quU{dY8O=)ZF*cGHpfWZBkQyV6>cy}aMlqAt=I$MhIZ*&6Q3#i){%7()(; zJU{-eaHP=Y(LxW`sa)yRtxTZDy;%&E0JLV z)D$sd`D8r=`sZmb!u!UsfL$Q}kXrY(8Sh!icA@!PcFCMv6f&vPRgG^XR#_LQcjR&j zqabY$C|Q*ZHcYHv!;xT&{S?!={vbR>2v)1?X<_MC0!QR9ign(Q}%(02Zfxk+&hegACQzXYWL9qGvr$XkAUN3nC z7_rjCUKJAAMASnXP*m?UAjNeF>{%bhQRz9z%!h4Q+Pb$WR%#GW3hx*m#M`!)tbNx) z%a@@!yJ=&W^K%F1g6L!7x`R~%qQ7q;fK2d~`4&vAaS*R2G^_TXtlJsU1Bf{U)oHbn zoMpBy`-mJpf3${%7t1bUqEA6LLr-$H@Nk`S5|^kqSenL&r`yOPe<$smH@VMm+?@~b zIQ5KQU{lcDL6F`H2|_5<((x(4O&BDBnr8erD$(^DWkQJ=-b z{H9DvoS>s%M5MyM)QLw7Yvd@(qIz?&8M@O+g%Eh7%N>kJ;DJeSSV9y%jLK zO#;F%eGP4PcwSc~-5{dS#Vqwf<1##zG4Pc9NEB(7E$6Hh_`3&)5exlwX92F|6X>xth*IVvU5 z`!}t|=2;=WYDUNp+P*-cv^#C`V~26tsfm$qK6fh4AQL6Bk*Dy1%QdS>T7aL@G8(xc z1{Xwt`d1A5;Kaz8LYfe|2h7?O+Ysm~G;cST|N2pq$PbOGy^5MJVTZOstr5%%R zNiqnMkn~{ExMm40?ocQF7V!9ehp1Utg93fCf=1+T^tvU;Y-NI?$l=+;6jW%sh{|RA z-|Y}F22K5c+HSjVtOB7NU$-SWR2iCLUd80$2GM_Al{Y}c6wJ*tpZ^k9@5;>$pH9vQ ztp>D1Qo1Fw5y)g=VHgp}q2f4y2OIl7Z@#ue#1DU(FMn+zU1}`Os>NaQ8%8k=NTtx> zW|$q&p$6xxcaE4?N` z^D5!pMwCt>*pl91&NUYzf=n}F6h1@l@Ch|^3^hQ(Q+!9}=kr?fj^ddxYsAuGh>;mvN4*cM&*(H+b{zac>}tHdP5C0tnkLqrCB7kKXHh8kqx5HrS^ z2=iGJlW~c?V=~^POfl!i7zCe@=6k-r(~ua)96_``&!W^8Q_xIX479F<44W>qO_~!dO*RfKWx`#tu_@jRV|j&-tGKn%RVJ z*%e8&`@^kd_$|`^eJWOW!M~i=*AgEu_y-@e&-wpu^&nb(fZZs35CO}_c9H?^Xs|kZ z{DvBBIzqZ1(C}a>37`{*&l%AmJ@|?0I{;c#mVK2>`m*mO!~k`05E4WuJ1{7u!OniY zlK}yEGZ|t_K=(w;U&b@sI{S(t2p*%c!QM+cD1Rzw2tPHez_W;74?_?v-pk()rZ*w` z(YXxn+j#J!h<0Jrn*IqOFy_UR%dc2%nQLuwgqIMt{ zlm(*)B1?Gt!rDG;a50U%`t@6X6f#%GY{W1YnlWdis`w3chpnUsbKq?fcManq3|ZmE zz3u65ZOZha^@)4&_+Tgoz!xd#@mcW^A)e)J!4cuIjYh;0A_bsc0Z-7L&IQ){rW0K{ z2OHg_C&g`3a_XTM`6LHaKews?mHAZT0*NC5RuZkUV^Frr)L;NgK#+U#t8WUGN=7pM zhS!3b>a{J0u~890*z0ND3A$_jr=5Lc>f-ghA%cz85JX1TxTEQRpD*!7HHo4-o0myW zSH48&)ex~qWAeJFD%Ds`&l2WHt7l7_VUCk>+`EcQ9Az_9FwFo^{w>?z?z6|vt!DhO ztY#MVNbZ|XB0N9bnu5OS66&{0blBgq>dd?kz)pH}|no5GM@79XJ%fxg5_*z;5#*Fx?I$Eyiy5H~z zv$RQ)kv*g4C6PtFpJ)~uVb~bZ%Ous03n$fee%`VHl)fu?e=hyq*_WcpY2=$GoTwL1Ho=mzb0Hm=181&o?phymX7MR$fLS~F+-^V@m%08c}jSptq01B!*{KUZ;2EB*~njZY-vVS zSxQ&}zY3zDiTEZT`^6mDUHF#eVWFN2GcAj{wt1YQLO6$S@V$l9^^MGbtgh1aVY* z`V}e$^uF@#2dK#PaWiLQ2e>ZfW&w7S`#Tj`pd`tx%Vf*+0n4RAmlg`MZ&k0%Iwhu8 z!#Z!Jz=yAqruO8{*=`lF3OZ(F3mf2wH+1P|7`8OqrqDf;BEN4D;U%H+I||1X%pGB zcVM)P)qcmqq`hc-M@K|U!l-qb(A)m!GD40QTe2jrk{*sTEbQCL*K=q{{xz+SoZ*qs6lTz5BLae+rM<*s5Pz`f}8M49=NfCiHXo|P^dP!{f-H`R!mB;QUx z^gvmES~Kg$`jeS0yQsuWP^roAkNmqoQhZ6zvh2D@jKIdS>_7dP$N!gKe!dWkFr4w^ zibeVqJ&o;}Pt+L^H835|Y4}lLto6&@~*G2HS&~ z1QeG04k5Lq4syLoBfw_nxffn${JGgd8rHD{^(pDz|Ac+*&3g`#XYf7-^-fc_-cuVlSnG%fsrXPiM|N9=FR*t7+ zNS-#<-$>*{6>0lQ_;&2LN>syrMeiC<^$EbfjA@XyQU3T$w^*-U6k$h zXvNdQt*U@SXlN?M*}j~-{Wyg)A4#U7kAY>?WA)hk-`7Zn5g0T|$Z+5dUFpUSUY;fn zyt8aogX90_KcxiwZ~rwk*vgH$M&~)xOyrJ}r>o=UcGcki0z8=d!o+@iow(UMo7%4- z!+|IEyFK)^UziB+Xz38(-E6<+;rz@0_+z{OlQt#-oFAhKVCjmTotvXv@3>2%QD6Fc%fv1JeIwFftKi%q5LVNud>vLHF0d7r^{*^tyE4UTY zo4x^>N;sQ1uq#&Rh)0I?f&{X@ zv}}$OpuQ|(Lp1c}4_@?=+Z+ryqejK(D9Kw&FanI1mZZmI^3G)830abb#p%ZnR9|px zsfx$u?BKdRJsn&L-2=6imq^Ca0-{SR2(W8QS2&I=knOJdtDf|(H&+NiJ86@~$@daQ zd_hoeeWr9u^?k2U8v8Z$a$t6hrud!C_tz`jRY8EM06W}_857${j>lSruj@r9E+rtt zltzF}5*QesTC5&__9^NHv%rnXz3ZWab_X^~yRu8JN@pexymN3F{T1)uQpu*>dns?R zl@G_-5_F7m$Jq^!k=sv$`v~wh*zYbmy@n^_1kJyX9rkPJ>cA8G-5w_PyZv<7FYGD- z?)q?^2=MIzdV_LMNny?*=^((=pMK)0Ajw9$_yW(y_=i*^Xg^?~s1PaR-C{)Wc&rc zthj0OpNd`!Lw1s+F&jXSnF$wv@G_!U5)MMkfX8M&EpiBw!$GM7q|U*z1Iz~mm@xYQkz#)WyCdmnNpoI5zpV4Df_fg^|&Q%|9t)hA!3Vgwix2d2Yp)r>?7I!_7Mue<(nRt8kIWJb%f zsnaWJoW_W*IsG~W7+6F}Fr^V-NFBH}y$Ob(o!x&K%A>)PRPZolUr$p9&gs1yn52TY zg^_FUb6=mO+-AB9@HQlVA>(}7e2g=Kw~fucN*TU;8TM;14m`2n?WC{$!d?;JjI(#Q z(ILP)WxoSFsCTmi|H^^CV141nM1ThX9h$bq5w}47)KiZk2Y8PgTeHe3DEEfbKpR+u z9AKVQDvAuSw$3XhaP%gv2)@pAz+@ z1f{j7B{npO?NF%*ry#|nPg3m!zokrZVrlHR8yh0K(Ko=*C9D$6zH48{B_LAd)q4-9RWJ1*T^s$(XR+ptWShq|jm5@3|kgKGBM4tdb+Uzc{Zf z^;>hF_~_N&nsY0na@Wf8i))czvK?+oBm%7OP_TlIw`?Sn*37$Sp}@QZ1el}j2v_&q zJ0PPqa>-$^<|}%~*4Rfn$cj!;x(mmSvy)`M^~>jl@V6R zYj76<-Uj>aIES*2Wk>L~kcd4)aws`t_z%P7?aw5P3fJIOO8vMiupQhr*I`=n&ELc|6Ru~#NMpKd;p7&?ped7l={30(|4z<5xtvYPnAy_~X~EeeB)? zUs%2PfahOR?2&fhn3OL&=-++8dHoQ3TaQpSX54DjCn;*?Bo0h{-#vFRO(GX`nEu&- z_B-3ECISqM9ft-h4U+sYoc-ZT3?LQkjLBPJniViA%znq8TjPem?HA? zC2#K1+IihNU14|=lceQPPg$t|nE*Bc%C}ipzZ_Z} zIei!RM2ZnvV9uZ@v`JkTBU#6OgJdhHI9KjZkWE}$RC9=(i&3wRtYnXn5YdQ1Z zd$<}kSHgA=y+!K4z;LXeBFbc&le14}cT0LN*oak4JlWiv*bFhof!C6f-ah-GXQ*_b zmu+HCT#U|49C&o(xwdlkq=fVunx=vWV76YVZaD-UjsrPM!RR zjbO^{A){Ag?M7bvH8>55%}k7Uw4C|Gi`LhEKqZ5T{f>ie7T}RTw7H6>*Y91$M1Y3} z72#Pv0*byAVryQx7|Wv6o`5{P@Xha#Izu7M8-^PyDv}En;(%9~D<1f(|N0aEm!JPv zt`PIyEZ$4*SK4yQn_F()z>Wf?5Giz5X}zH_4U?24Jkb@Hl8zq-3vTJrCv7I%NvF|Z z1bE{&9;FMrlD)K@9+7L0PI;H#S$y(JEZ=wVOSMZ6mX5r@?A0reFU9VZs+ZMrWJwvW zpus9}D)y>=`n-Jo5cNhB=%Glyc8Ezp6#0)#29ZL<_TO_CGZ_|OhzusRD{#Un5nbD= z2@3u3&R$XydGWshsfj~;L@h!|@Q z(P@#q(Aq#Am_D)Z%tP{J-mbgsJ7qeDi6+0+X>eThMp|$JQ&xmTfZ1j08C)T&-v*Bk%64>H z-PjYCq&yC66-pG(efIs76E^dqepgton3T}#x;cyn?*<3vRL>v0RcM@Y#~HZ;!!~H} zEdkyh``r@bJ829#Po1%LV{7(na2gZc9XFHo?~ZWh(|>H8(a-!+MsB}jeK;GqqW8L% z?;sNa9to((bL-FY(css=^*t&#qfqJ>j@s10Xt1eJ0w(-F{Lx?kuYU5Mk`12srs~Oe z2z$aI8|+GN&0nd7SX+yl{@PegD!@r;p)q7H(c>AX(93~IEh50l2R@q_8T1V>^a-2t z4}Sl~(+5d}$FUoSrKsj=>rqYNRgSz$z;~|vn6%vpVxaCpib;3>D(jxt9xl$m_2a_Z)|Iu2bzNAbkfx+>um`yXECFfq!TV#^FZIFbJ z&c&?DQY$O=d#rrOk7|Atl}m?e&Fh#XVu^2~tYvRb-)f)($S_ARSc^yzmrh<^ehG^X zt%zhk|A4G7ze(CtPf6b%%whGUT)6905bVFEDtEXSLRFEXs#Gb8rN^NWKAm-yjs4P3 z8~fFJ7dzyGZ5%jm@H@tVU!%2JBDw`3Iq}NLTgS_8WzAo!NBXOknkw+0ejWQI1_2H% z{s-dg52UVZ;A|`;4&2mlx@Me^UfnErYMP1r*4D@0z>Nb+AQ%U(ZEP)Nb>j$ZwqMu~ zv5`G-RqF4+i2!TnD$o6!hxk1K`d(+bN>W0eYvnKw3_GI1n@{hI;33((tsP;{X|NXH zfj{pG_Llt)aF&dt8z}?WuX!i%gt0QQ-<@Ji>EE$FiZ{chJ*HBh0e-XFDc+VG3o@l-sMs@Tuky9JrQ>Rws`C}?vbA`9mxWUFqc+wz5 ziE$yo?5@eOF5G>}(gth!BuqC#)a9365983aom#ASocyOtE?3C(#z9q-E2}>w2^{c0A|Z@tQ}By_bXIpPbztgFGV|aA zche#{UMChD3rBw{wT`i48x3}B{eECeG!b~>L#>Cp2cEJ+!2~AH5tMZqV89&R8?U^M zi_$n@zKSZ2$LgGBQLNvP%x!|Y3OHNh_rF2zS6bXsxJdIjaN#RYPyuuLr69DFU<5b? z3ubUkR++(}G%KRMW^>dxV+6RX_onEG-t38M)0qqhPVIM{Nh%mJF1TDADPe$1ZIuk+Fi&C#t%I9NFlc8ORq7%4VL0vx)GA1 zLwpF9A!iuq$hAu!TeaLHYRM9XFsM3MYSf_bp-yGTf)uOmTKY4Uw1>v%t+qZpJbm4H z>tLutVLNNVs%r@bgw_C+ZKwdfWF#>?=Ip!YPRh`x&@UUNyz$>QJrlX5 zt7gFb!QK!A(3Fz@~a1USWGellV=*I(YuiOo1uY3GR#KHb__KU&-9!CtvMo$0E< zn*A);G7@)rtLX54V{BkMKb;Zickqu|8%8fJ^?67yHpN5#Zs$ ztWxz&VJ0OQ2R5Gx1SA*_C9k}Nb^!)tj1tgbN;Jr%cF|ydxxyjqSdXFr|4q=ZcGY3l ztm8cR&?D33SD0LfH8ibX^oP7=Y;Q~grwjWsVC4DBKXoj)Ch$#*B&;)g?3LQt1BxoP zr^tjBo56}rP1_W{%5SY?!QGeb*AGT2GB1IaT8xFVRK+bX`E@^PGLrML&~VoVhg#d~#wDOe>oNP4^?+_}Q^nqT#VSPmLN;*bSf9w;ANYTTrb05g*t5C|}m z$^WUsLJ-zS0^9V00R6F5^W)e$V2y0>QMTiXSC`-23X6j z?vIAUp?#NK(G?v^!6X*!So$NonTh2RO#0VWg;+GJQrjgOdj6%>u||L?n{g;D{Y}ME zXmzheQ5f@Icj?-PT7T+`v3pZgOJDo|b}E0Pi0A9n)dkYB#pT#C~@(x0(IIm>whJU;qIg>qB*Tc9jE91bBSl zOq2+4J&}YI9GIEE`R)Ja=brw*rwQTNM0!)!U)$^$2cGq&3esECZ$OQkQ9vS8F&B-| z|IsJw^kWa7EWW`UefeJJR*kTj7Reh*Uo#-k^#ozSXz=^EeeA~IGJRpDQKsjq$Le_X*KrEyL1T28;Js8h%K`I;P4YaEt@fIsE}w^iK;gbC^dIb%fuJr4oLmKS*4! zhdC2Q`j<(jll`~Ok_Wa#Wa+Kb|3-4N0GL_VX?DHu?4Ylrj{U;HqY z4%RhTEbIJyi&TKy2f3CUECb%l&fJ<_=T;M$Y+kX0y>gv8lR9v3`!&3m9XR#gk39A( zW4cD)9u5p+8lM3e-(zqWYOophtqJhXs_*y?Pdj;fGB6YS-OWtwcW22dz+-)cj+Ti4 zzem785ZzS-iA(B6riA#9-}9B2>HpkX*w zL5QP|J?O>u@s z(yF8`5lg~wPRakf)Y|J!Gsj!c$HtaGfSF@n0dDM$iUI4RgmwX@1pJWFFeNcPvaGuB zBmFXCT#>AAnd~g~m_)V@EEnT7NnrDWZH@~QcH}VzRxEr~Du|j*8#-1+=q`zIN-f5gexI{miN&nW zZ|mxduW>U41Erh6o2v1(>pz19Jwp3ow}PG-}TPBfxksl@xYC z2eq-GiA*|H+{|9NTAlgCm!A9FN6vieTh#mVp3>lU@1<;KOjqrR29K7pJ_dJ*2DcCO z76f?v?RTsPqbt37G7<)O(=SZyciWf<@D7q;zhiw=j+@=%z!L!;A2Kk_2Z`A(xmWfqS91n2#+)+UFm)!_`R37-`HBS>LLdmi4!Sh+S!o ziFvOt=IIHkR1`e?mVDt8^Z4od6enpH{Su(9ok8k06VYX^ZH>-Li|GBT{UN-#5t ziLLW5zDg39Iy*?Ry1}^VPpHx_gl5-VVbwytcTLM$dhTmfi~z%C2c}yETQ*~5UI)?llJYiSmpJf5fX4(v zLTT76z<==V{}%hDQbu`^C0mexqoO~fI1!K3fy)x(BPnW=7iCT(c?WDQ(u$G_FpA~J z)?H6NQe;ChnrlxhFP&`M z4-N&J$JLaUTYNHU3rl1$_qi2IxClA<=j;9&n{FhTPuF58|H+o>VCGYjBBnf^yt!BF zs2)tMqk8T-$?RLORqR(EumlbetCUoM^b7JYduysx5o?z4NfcwR zO4eCRA;M(N$=%0xQ?PfsPv>8Hm6Hm^rG*xZ8ul*SM%bW2&)b*!akTPd`i0Op#jQi% z;lK!RBgW2kuhTqmvg_7^?|&a>)MyxzC@n zb_e4dqOCI4N8&Eg;Qj(UR{PC>&QYd}^_i&8j z2FsE1=?@u25}$rp^^@$YzrG`A8|q61Bni*Nfz6yIvTBhDw~MlH!>>h2mNF)-%`ZLR zRzZ?;rG>bWiY|GVWQBk^c-)#;V-ZFt4$T4zAPmVObIwJ z{Wqz;Vz zQX;^VoQkOc0}jmbO4Gk7IUN{owbdKF;Y{|{l?(D{@NMJ319)!-V|ubZqyxi5gEuqQ z2jh-wa32BQPW#O`AA;yGJEs02SDNiKobm1*Bz&9JGXG^a#rwtvDgPdW|iu~5iPrZNs zv-OJ~DjoeQlkvL`s<4XvMoNE!E-7Dng{qOSMKXh!uCkl@ZNPdmF**de_TdI_vgW~WanWYm`g2pd3*0@5c|dk&^8OxPiM3E6~2ijZKUNnT=M1L#I$ zX#fNoz+`RaGSx>Ya;-=!ST zp!Q1yD&+{UyopzmBgl+U2PR8wMN0R*ImUZxe1@)Eom}BrdBZaucta$;;e#k1?V1KE zNOhW4glfR}=7)kTVP4q-+rq$dM*d)Kdkmk40)mU%+a5pq2YGey2Cg;9=OnEvavFdT<1G;miU`{KK(3zB0K=Rw-yR9L^J-r3j4Q zUcLCmJ^PS{^n?iFz;OWv9vmFE$qxcdBkjP7g)&UW6H8Z`@X;Y-^y}ZO1bH0TI=6lC zx8KX(eWbki#wq$LIzJ^fxH}FE^<}9j`L9j-oPTAZMGammz;&xHX(HyKXf_Lx(T#=2 z%~*dS#(ulc`q?imJbOFK+6yqW?!ZQXYXajE0e<(^`4=a<*Sy(&RJJ_`f`9$QKltkp z{NHHDxe$Ff5(0dFIHvK2Q$I18-FW2?jR`-~2n{|zK6i~mr8lEZL6~)3neI)gq+0gK zwvZ>9Z@urmn~`7bIUFmP+tx6!z3_W|Se*)_^~UkjG{S%4^HN~V$6x%bAG-5@q9wfc z!q1%b_uad{O#6G!|J=LY@Y%zs??iy3%4DQMxS1c8E5%fiK>o+J5MWFg)04%NIMbuF@)?57~U|zYLQ-Q zyJ2K2%ECMqJ)-;@y(8KRu0HcTN!S={&V6q@;0GFm!^vw1@cw)@aIA?JYAyE5h6peb z7(PEHup^ZX0-yGQ$0=|$I!+ZLC82(Y{ff75mfIdB|&nBxlJW+}o6 zSPn<+*FRMmVW53-ekNrZw1bF3{+%-;u07JVDi~t+^t%LK9i~YX;)NKdezmTc^3jk&2(z}(@=oJ4@}}oR>YIGeCzIwAFyT)*eEAQYwkV& zQ+D8ugNggbCwS;Qa}OSNeQ@@acf)$qQ!GJ%U3)OMhLhQA7+km{Dq~`;MA#T?4Vh^)iU9MY z0pfvo$k`%!Py!5b2i^)s=l}Q-8ZhN(5orewf`GC$q#c+eh5aDs41x~u=a?Z2>3lef z1@J7eg5pbGc#J4WZGR0|8wak{d*c*+QJtT)abTz~OGR1u+A^bi3)f#p9S#f`8oWS& ztFqsWq~xJc;u@gnaE$$SpPi3CWqt8U8rk&AexYmEPBtgRe!&Rv8bH05C@d z8jjz6`mP`Cz4Y>I!V>srU;KN&^vJKvx66q4Dnx)M(N3sUA|WH&##@Lu@TtLQ!yUge zxq;8{aL=E~SHC*8wP6oMlQwMo?H{~PYL6Z=N|%nEgyT_K9JA-JCTyMZ?Hk{J{>}I9 z@$Aj8ZcY}jd1`vw?hChg&ejcQ^W5yka3$Lf-u(U9(|64%TOxV(*qiSjcn4Bcq%#;S zjZpl;|Ho8`r(vn{5YzK5|Hi|QpMC5@`?5}0F7`I<@j(#gQ%b=jXK>)pT+2NSybarx zPem5U74gbE+;%(MxpQ0io@ej;JKwBI$2>KIyu?xXE51A%uEth3 zO)XuG)&aGABXCCE$g}5+5$|QNlyDvVm7jpvE)z;^pF%Es<7WXpJBg;7y?A6LVixv? z1+No64u93$-5PH1&313h4k#3}95`W!3LKb-1|z^U?%eq)PCODwn?7*v(MXVXV5Po* z{od3Sdcs2fide9_7~;KLFY?>+apaonJAH9rc|n_asnD4BCXmk5!5V0AeaX>Aoi;i9 zrq<6xlNx-J0GCwXoVmzDp?oz!(V-dp?FD(tzs7#+=Hv)4wCKP_fGY<9=A}Fhpa^_h z^p@u9^BGcsTKv~Lzw%2Le?tUV-u8SLwdK2iPlw(c&;T6xnm1M7igfE}n=0s)**;3l zLl6N5){*uZcle$U+_!K0XxJ#Q!VeJ+|2YpWg}4#eLyUqR2B?dD$K8MYofrSj2QPf-#`EVLf9|80&N!@XdV9{>{^I&GAG8mCXd{3dZ#>xh zf$w9b;lLfsIl%I;Dby``4>L;!w)@)j21QY{3k5p(@Dsx?eSmckU?L7oL>E~Z2c88p zs=q7&F<#hmhEz~@y}3#kX?)uU-iM|#e4h=D7GrJ%im{=)D#D&cd5b$9MRj5v^_YAT zA>0pt9TRr&H-1FcEMQ&xV*e@{-dyy4{*Si=)yf-JD2E<;IM}u2&;PgvbUNE%f zy>ZeVtBR5i+}8Ib)t8XA;7lXtq!|r*k0 zPyiS^5m*Q-1sJASd~7ZhvYNpDiL#n|b2T*VG!sNREbEzXeTO!To$&Z$XL$P5Tc(FE z9?Xua@waT88*SVxuMhl$MP=`5%~A0BQG1$ovezI8hr85w^&V4UlO`Np);*+4L%a!oc7zm z#1zU-0~8&yvEN=`?6)JFH2Vc!cJP9OM#C5Zu8F$`!*^_++4tr++br-8{5kD^`X_(q zmwx&;cvi`R2ryDX97iIDCKAPIK^#~fo^eMaLJC~yx))aJ?s@0|qy!t;-~l^{-jB-v zFbsF{I^pgtYuk6;9DX2{tdfW1nm>H*((S?ShJX0u;q75)K@8 zkscuN{1rZo){Y^|A-xSc^veb2nr`(Yj6?<

}5q9VXFZvEni zccX8a29E16FE=w{N+aI!z3;9utebJO7b@8qI>8L zxg6N4w-lUy1kOZy#-)9i4vu;I%z( z_M4CX^1u7B-{4s+_syftiSLQoBEVzE-FDrX@8c&dzwXVI8()tV;&@0D-Vr$--g{^V z!%%ob2?_s-w1mbmihz(n5G?^B<=;_Yzj5^kV#yA&c=fqQ&P`wN{fUprjc&8%*KB8G z&2P-2Zlig&?KsZXY;bCD`20NZ4(Bt+b|%3TNn`I+Zo~f=h;0wu@z~vX_3$;+OXN*H zzZzcp=%1Yb{3H7}t00KW-SFpE=ALuBva(-buma;Hp7FykBAPlJI^2J_ainJn#9lcV zDW$<`tdkaC2$x4Ir@?{oUK#?Y1oKNeXafp7bSUfd^AS%L^0Sg*_{C2CnZcNcFt>bG zARDv+A0{Hb?1}~wNd25-l6f8qYGok9l19ZSG;oiG;>JgwAdao!#F|a)@P{d?4y<;i zGwp*Nl&WalyM0bRu6fHD{-gi?m;Ux&`+w60 zjDn}{7;T~`Jftwl3WyEz^Xz0#y+InzxC10vKAi{<;CDZG|3;)76PpD`hNfWI(W)GU zJ+lI?#e=9Vu+Hho@TiFHJ@rG}d+fSzeE)6Jod)_3WyAWplW~c+J3b23!6R$;YE)dSSL(W4QaP%H> zbltJS$Qn2vQ8S7WV!h>3ypB(z$ zhU4NAyllT^Fq%>Xwt|sm-!j@7JA+rIdpE+*ZSs}WQ3pP1+rj%E3O{`p`^A$e`CfI7 ztO)lY0t;zJYln`)|I!B9L;j+Bk^gN(O9p{;`D;&6c-(T`v!jinJ=)y5`#NqA>vr!pLSsRv>+3g9e?v@>5?p^(P;}40#BsFYzcj$0z{?<-i-!o1bYg zUL2U(CBml4xuei^!zHx&u|W724gPRw79WF`vSPx4vE}$<<-G!f89NR?v!D%e0hU35 zd_@y5z+p^=St_CnqIU97B4Rv}mF)p-1bF6qlj!@OByR}t*xk4?y?$_sCnfz2( z2Y%gw&pK!S<%9S+O@Wdx(4e180Vg?Wl=fTid%qXG2Z8K;^NFuro6lM8$I;I$6!Hzj z6+#Dyk{QLqg`K4V2Tr_d%BVB!w7JF z$jfm`!CO%g@1K4C@BZ?~ev<~^Ka;@UjARDTr1OIjc653${_g%YmI(WiHmGk)y652! zMbcy4>J88job4rdj`1qhKo=q0CW=R!MGX0OoxhIZAtsC&!j*4+AGQ0y1H;$8_l67a zdY&cl+@C*qYJTPH+n@d?&;7N3d-7dpcEul_KmWGx@>E28XCbdV^UyVC<1X*D(fqZ6 zJ-9KveRKNKjp?hH=iK*h%;$I-tIeW!)w)P%1orC%c#}OrE$IYL9lzrj2j-^((T9F9 zlp}V3`b#+QE62a+3kwC9h5X<^1elQmHA*-YIHRzX%YmZDbikry9ey}AX3HXeIKY}- z_(jS@B>4zgjRqUDCJg}r{=wtlq&`eL0rpD-CRaJIphl$Z7e;pYElsk7u@eEqOz7N( zBaON=J*ze|GbLvfR%n$Hkion_S3*`C6Bago>z`T-=ionW& z5nu$Knc9keri1rxI)g2DH1X%V^XaLye2(X;GyV2}Wo(<#81JOgSDOkBT z)?B$x7pO^t<1bnHH-!l0|79uviXz^z1ci2tC~TOa;)8bCF88i~O*Z$|O%Y@{?7hNBm!hcsS`N@qx~D1^X6jPA5M zyz~C|QO1OUWgprB|I-dPh(NPA-i%h%mhI)&NoAqUANIx{mIwBQgS#fALUuK)5&I`j37xn7q8d`Ifuh{M9SpxqtxfdS~v|v+lWf z?tjCh7VS+Y{xo{;t{sY5=(>R`J&3@I&|S|8KNQPx{7}{WP}C@Lk{@}CGPQ9c#)xrU z4|jD0ONfB$5<$LMD!zZX|HB_50=B>9+xY5vZ!q*nd+vbUYi*tX~<4O52$^Qx79M`%Fh|C(sL zuAo1}l@!`@&VB09aSg6@>~V6=NZtL(*$qV*4PGk1bq}&AMU$d|8gkBK8T;)Q#(q1+ z2`~RDcd^7K74Na{O;kav>n^w82o?7dv||)`Te)Q<(n)_@ai-7pY^V~ zs4PnF4yUslhu(aK&xX>A)P@}i-{MS%eNP-Ui2QSNut8*3k^Z4@ zB4(n#lB=ti{^xU#{;z28Q0!OKSCE~mErDGg!n@X?mGhdrg z_ekL=`tc35`dx3z3RLeAb|&t%`9x+1ZbUZ>B)8;uZ@UG4d@e^K-%jaMr zz(gFFsJ@2=+-L~`EXA}3KJow&kl2IhJ-Xr2D2DbEp&Beqz*FpQ82yhB-s%kovvc2? z-*M|R|9b3L+gopTDDh2S36MD7n?~P)!}>h1kt^G|{hsUW_0!e@Bia?Wm?3w% zW4C1}>A=c+KX%`zh>H4Nx)*(oQK%<{c3x=DMLB^QTqyZzD(_E%LfzAF;G_W8Jsu4T zrJxy%{q~ML_6tUUn;~t#a54oLnsHzwz;(dOYoegazqF+Sd}c5_I~e1=_zADVX|Qma z0E0L%h)8_!qYqMa3)kjAh#G$#LqV9QLII6d;Qs_1p8wh1F#3NS*qebf{oaeC!RG$< zJBL@F9EWwjp%u(WqYJ_Onio2-Gjca(-T}Q00_U#x?Y{cGyFF+0{OIl{-1Gn5-M`0f zpM3UXbIZQXyK(05FR*e(VdJi&Td%vPPwziHn;%fu#hNf`R^|Xid51F&jJDC3_;Zwr zLgLH{$Bs<$wED_fpr}ZMNf0OjerXmeRvab3ap!#31NX6%{mL##5q01*-oOt(FzhIQ zI4}`d(+MlU){EbLdg9M+gvHeWiRCGUD(8ZFid`k_S3MQ)49NSsL&($3P!>jvH(ht^ z499Nx_GW%+KoM9ut=fPzk`tEG84kol7V?mM$_HPGpfYPjh&ymxeHAMm7&9O61CsDS zN4p9?vO0Wl^za~>Uzqs8UikIJ9%r=(aN2%z!UaM+A>?#m>)iIm-+nKD!!qxUQ|k-( zLa#Im^`y|Aq0r6?adI5EXwH{WRe#dx^{|iz-z32GjYJ#06x52b-`-*Dw`-&Y_{7?; zP=^Dnb7SZ1um9@%|63!#wSoyJ1b8F-zTY7hF^oPFc;BB%ArV_3A`Ha+Xo*4u7>ghR z0!+j_uwNQSOC#oXxDrnZYA>M=MaWacZ~y7z=+UO_o^}Vf4ma*P^Y+Q%3tQt`U)y_r z><%}FTjRm0$YX>+w=yLbHL754MbpZ)p2@y37k_+MPS-QOMg zlOGSx-|F4in7lLy#nSd|*Y^(Q-i!k8;pz9BnLKxO|Ho`arx^=HPTJF8jss&*t}}dj zat%qFpvGue=%FE4C6RO?d;CvZxc)PLY=7>ju0%gOP*8RT21ShX?wgCiFb=Hq-pHem zJUBkezzWm%^7nqotl?b)XZXtG+HQ0R3{x4h0`nyD6j8uGi9&pNT7adnEXaNo+tHhf z@7OpKzOgyl37wIKApKzb_(Daxs*DMX29sXmLi+_Jz{JCkeq%=U{g_cOI``NIsWxNg zJalc>3&MGw`5rrGJDC~^^~}_58)sjdTw~?agYo6V>sP%w(@ec}T+{FOKF&srZX~2z zT0s~sB`6&NN(~Tc8Qri+DBwxjgoE{G2;95_5M75kKe!hYtK8* zeVyxE*Ew0nh#%&^KgE9v813Fbw-$%R5Hru;E}lp`*j)IaK)>^-Twc6`#TA~%yQbNw zOm=6XCzBI)57=D)?U2hmTW|D7yNljp$=I469s*iaT|UaD{SXQ8 zEP43lnetgm&V{ZB3)@jTz9~-#971Tx1Qc*e*I`Ssq^DLMLoW7WmjVG*5AOi(UreeyCEL; zek>8z>@0ryC{sH}BTt^cD_d|>k6J!Fy}r!zZ($g(07XF)P1a?AWda7`#!kycSPsA>&v_%C$dNX~Te~ZFN zS!?1mDIK6QjM9n(8oQzfqA1JM%|xEPM!V!uGh!mIgr*p11i^Imvx^U(w}GWgeEiv) zJrU6lcGBEsxhWvAK3ZE#z@WVbw>Y1I0d>4X3ZRcX(@bB2rHOpe{(3 zs_WInKTj~Ok=?}gN-1(CuHI9WB62*Xe2z%4a_- zY(&&0RxK#m^`fkJ+)*$Ba|?u7HW6{9gH5sL2r)eC^58w{qMrS-%RjMBdUo**-3r%l z*d4I;9Qh!HOwuA_F)jd1#brpKhroYxbr^HA3>3HU<@oxZE^gV#y<=8s$&7v_aF9?y zW9=_=xM(~`4N_tVl^7qUs1+#NGn7;~`BvWU=n;JS*5+(?Czk2!hDG8sd8q%4w{+EP z@ceP1S$0?kTmP4HUCtKkKaB zU7UCCJIOaf!cWw7;(0ibS3<)uKJ5W??kxSk+?Q*-IV9_`%^N=srqie@Sc8s|?TyDfM9*`^35c{zVnap^z#>%3f*|V` zC~zb9YrMDH`xeQ18Z{DdA~Q#b6dsPxD^^{m(uVs34JKe=eY5tBO=qyP&Hhl<>C23) z?9A(>#`N0Kn$TOoDpAH)8%f7-R?5tR=z0%bk2dbVNa5g9-+NU=Q*Vh5j*E-he(eN= z_cox!=LIV{d2+$1bW_}s`M;~I9!Sx0wr)`-H^LN_ckGfo!dFsZ#?0b&vnj6JYeRDH zXTD6G>T^~_*%wfKM<|c?SPV`|1Fq1}H*ck<;6ahEwKr<3+RN~!MvS#W%;_GZ? z!kLHibaV%>*fEcwjm{j8tl%4DO<>SoFtSmWZLaQaDB;XUib1xYGl{F=LGaTBh08=b zIGlBgStCax>g#zbt{0OkS;Jv*9ip^ArTXZ&Xx@#b<%i5An_*&XWuEss-Gn+-$3jmJ zyG1cm6lMPcm$>ys8qj^0EJg-5Z!P3;Pb^k`p9*#8n@?Qa;qL!n=sf3;-@CPGxYXE? zB9-W7EVkhC{lMze&W8ldX21(g!e2GtE4QsnV4h2<^Vv*Y?qOU?S?}V56A(P$=fJ1n zKC*H&6-z8x92=I{6UK78bv-lOkD9!WJ)BD8MJn-k*(IdR%-b;6P^OkvE+1GBw}ZD) z>zxF=v0D&5*tQJ*39i|BFTtJ_sy7nqQWM6KDbUQ+N7hARP(vAQcK@OS7J}^N1V6?(Ds;lYl(_)u7&h(wNsEPG>RISB-obLt* z2p|tj)X!lv6w0wo?Rg2Q@mZjox3dQUIMKvlSilgvN?GaoxT1T-`mAvpq+yt9-22yDioTj*gBwh> z%$}HQ1S!gczMC;Wm-*I0q9-pFQ4E?HS~IM zcw^HVMzyoSeDj<6rhhg&>wFVu;Mt2Z%1u=2SGWuW3G<+9oYuTpN)7>2(nwPkTybwX&~2 zr><&5d;NnWGj>zTB83f~G6kog_r1VnGLFadPx^iZ%zXG&F(-mf>93~25MgRXD(sx` zUTJnj(%`*D*C$BZWV3e%t6R;mm?t_#a7I^>WA|HPICAqIyj4Alr3RUX@X*3Q6#S%m zzd62o6H6pZC)DiXEzlhl2tJO?+p$A6Nub?iW=8@l4}Nb@%}EA>kYT>?Mbo`aiOUnK zp@U+*&$c}N>k>ta8_j5T*#fh*nA_|Ot%|+KY-J!5qCon68+vJ(V@!%w&yi+5jbFBV zXVh-VkLIKl2|MXuI9r&_y_u%%^2^Q4LHc5PlhPt_7r9!P7dI1ju3S=m`# z_y3`Cbx{T1g?>!3MS$|n2;TrHTjemaKvw_NcVmKV^TlHK zx8*eVLMDK*-IE+)FK!r8;a{l>OE>$%kZpSpzbAFDcNhsMGbVY@0J-TdgSvq~IadX~ zx1_icsr9+gPu{5OWT8JF&j#PTw2+;fgeB1blC*@7HJY5N)EKg4Y9kmabG>+Z&foHF zO(s+=WZP}mXM84{`j_WI586t2A0loe7;9Z7)DeVIXHD|xfMk$cYPTvfrsM3LR2dy3 z>~n(Z;_SnpiCWP8$(FN}%K6(|lpJvy62*OxS5lNyWN|Zm z=R+gq@9hz=xZ`myHxzm@{dR6~FFWfR8ry;&mvS(JY(!26U9$#~hJ4=BnimA~#ANSP zl`>LVt00~d>pAsRgcJULi7^xq(D-hPKNgR~M=|YA1+A_rHD6WS2qmNlLS7)$(X$d# zEToAgv$UX8$44}GXOL8hC;GljO#F8#S#-Fa%6J#iHJI*aU6jgJ58|wz2)%u8j9Bh| z2?{nNbcs5~VjNr4>Es=&z68$E*y!iL9@(=!)3nf-e2=C?kc?3WKr6S;t0Fsc zjQ-_tUx(ndJxrRL!eG`I#}q&d(b0fk@FfqzK56)bFr8STmTT`v0xK1ylc;{SwS3vT zpj^h_9c$pEa@8TN3u?I_clR#_h6Nc!uvV|o+OPU z(QVw~o?(ph^E_2GgbF}}@e4s-7^p{iVM3*3mr|84r$i%Yl$W)pJXixG@FkvSr>=Cv zp)+x$diYB{$P9d%rTSR6czplNLl#T-I}Pui-^yye4~xPxdpFVR{3093l+#XbVg4;L z(8(~<95k4&X)U=LUi+OENSNQJixWT(gmU=QX{=W9ogoh`_pZ9PPUdal+eI{I`*nNU zvPu>SqA`yC?o`Z_d_3TP+}YhFD>|Givc!E9!$oK#6+lh80^d%QhPWwy*Q|%m+e%D% z{hfU3;C~ryTr-(D?dn#2f3^-k=jXax7$+)w(*o;VJn!F^B5vUaH4;J_FBpS$&ds(N zPxw@yA;vw(?2Xl9$to`x#>ii?k;e%q%c#C7CLxya%){@qjY;Hj(2rJ&uNg+FB-nAS zWL}QNHuAX>oTV;C+c$<`*jNQ317)FNm1{mQUYiMe+$uGzC%tYxw31aZ5n4$L3+Uqr z&m@LQ+)Y?fS7DjHL*}4&hp==^DXYFVG8jy=RMpdUo8`+oIFOskgsO z-g@#!>)YjW;z%5Yz$N#RXxEeL2>cws7{Ocatmy%#)Bewt=6$;HXZ-%&_F&bw&NbO+ zES`nk%dz$aa=Ip@+G*$vY02?m$dE5nsW)^c*4VT0S;_CO%ZbeTWXBlbnZi{CK z2a5@l)INSA(!_#nUCsin_LqfJyQi@l4vHfeK zC1_94@<-sn{Rj&X&2I{oj7&=MD5-{BM(p`b0^R1Wel71qGwTR(rHfmoP+tMjyZycn zRd|zGmhPWEGT5W8eoc9#$yR~OWL%q4g>HmMfq3$G(76pl3%*R&WaoeVCU&Wl2F0-Q z6d)Mjb(gIzlEqVfv_5ZK;7!Ej3pa;!tZ#@i{UDBz0@tHE?|2S*IL)B!soeA2+yd6$ zZ8-veZ>XG6bgK2D%J#_Xr3dw2;P5FK&+uP&n8G0JzpUdYZSVWXlIyQQ6rNkCPm-a~ z(ms|G3$Rc}=ur{w=xT1gxw`Q#4|pLzxa{^jKNxYFjUa_tw>X;nhP1Giq(hm;F#kK( zBKlB-mmr<^onq88E|pp2IHd}k6v$h>0~r^v{iAiFBWLcO5^lRyxI~03@bR?xe$qI6 z@G0ie^E|X#|Hsk0w;!`WIiCMSN*f}tXt{RL`-#dIf!y1;MMldpEzULCB$o|^8odug z3dO`awL#18|D*|E7eWBLq<+6@VMW zj1GMtu4SIj@2;?DzZjYE2~6#-NsPLR^j&N|M;<@l3}&jh5VeVX-%V?$iZHavHyA~N zWO(==sH7y1Qrhbv#*M)RoM0nO`X$OtQFL50l9eV^vG6ZhrRC?e9ET1@QiUkI%UpcfXY9j%eF!cK^48!h7f)cZ=O>l!Stx#Y&nS)))#Ygg8JD=lib!{%B-cx->b~Q9Mk98;^q*> zci>FPyo1lc^m^U{o{7k+g%Iip{ z4)ldttaUNIXx$#3&m8y`czq04vegwYBOf}D5hu2E1aSRSlHC694C^d?%BBt5&ktha zIl+s(q)wGyn1(CWK+r8(79Hg{&zt8YRjhT^%! zgmnR8PRg>#)Rl?%r z$b(HB@`kJy3rqZ+$?hnCP?*xuq2J z2oM$*fgvx5I6~h%Ng^6GmtwHuH?e^>JZN}?kUVUFUjmYV?lv{Y2Nkbhf`J!c@Xw66 zQ|1(#?V9)vvi2yH#dAUpv6W44?Y0{DF{M?GM55k*Np&+jHfkl4t*WTw&2^3vdtXv` z-1(b_)N;ClVtGqqcs2~6TT9^hSCt%#TW=Nh6qYIHfBM?OvB3DIb1x_L78xJQCH;`~ zD}~^W0dV}*C?09F#V#zmMq?ox;(vgWGvbRzZJ}a-+>?s!*xc*YFNo_*C+g%uX zU%@vt=n5#HDFh?+AHkWzYN-nUwoaGJ=QLlhO*Y?o-KWIhBvJPWKgu}TZ$Q98rktPN z3lr7$CDv6U`=fzD>aZ{?w90axFkx_HkYrPve$;B|L7ZC0;?tB{wJcX}!!nCm8*om9Jwg3;=fM27oxI)0$yN9QJE<@?9 zvrT5Xt={e3<2d^zGXJzRlhQ3~rsZsAZ*?!TY}@hCXnPqhcKqFLxU_tlm<8Y6Ld>7o z8F5w;xLl~PX2$$yN>e|4TE(84N8lZs3qpI86>M!;|EW#LyUxcK!e|x&KYy*X@H`ZF zcHeTpO?XKAn?o5APvGStnR9?qrM5?`#q5RQ4|UhM2p~Q+?XT2({tjFbfbMsQ^Cfa=KWdN`@N1|F)!^Em&vI9@Ruliasf%VqWMz(Q|BI&Y)(Zz+?=K&wAJ zM({b!FC-fwVM1`&i+!MIPUy_ptYJLDM!P8-2^M)OEG04h`DLo_o#pjD=^pgd9t*4& z#U>z%ZmwClbu=VgnOV_%Yt$Gt*f1pi`J6;ZRvgWwp%i^--*3}JaA|AXkCb_}FaMqz zvu?{|%l+)tyQf&&V~bX__xGEnKK*%rtia%PM{w5S_=0lNnqyrH@?dZqFC|4>*e*vT z52Sqgr#=>4LtACTVyyh&V|1POjMLV3=ecW)SrJm^^a{D$gu|aO%ek69YF)whNWP!; z9Xf^EPv%J|WDokSA!U5JCi!J3ug-bz&F47`<=e0*#rB)(kU-ewr8l*2e<-h-rFzxw z#CD6;;RhIE3T`q8C8U9AJX0d{ggq2WErF5Tt)liK1?nJC0Wwhapqt9@qwD1i!Ku{r zsm!S7@jg9X?6gnhMeBh#eZyBU=;qAvCh4IiqCVn)Eqp(@CgPx*d3&H(t?T2t5=(#o z*F$kF!gQZIkmx8D5g*OyA3x)ODY|RV_+1RvJI8GxyYf**Bz|I6b&rirA~HU959phI zd_=>vm+Y5ot3~`mOPCu&HP0lw53Q^NcabUY$fCn!F5!!L5uClxOgwGE#ieo?+qFE0 zE_MU_{%+OCH2E*%yl3nk-7o};GDI~j!dlBX8yr84flVB-1h#SKr{KU-|CI%-r2lMy zS9r_Y0q&nXU5fW-{n}lQ(GPtz{j=wTgEndvr9MCQLzc}@KPEBpZl_-zk_#DSdI=j zSaD61At!4}uW4S55vGbj-roPPMwCbgjoI~q$}dy-c{E6VW=g)=oos1!DXDmkAS#f# zofsTcd4R<=b=@p2O;0NA5ndO`vu6Hq+}-tWvmmU&rdF zTS^fRi>1%D`@zqPHdw&1D5}DkG_}K4w0ALKILlJF1r1G>n5p%+PN$5^k^MlV}W4`BSxe-Xq zPanqow#_% zvx~>a!9%(>hkqMmj_}3zsd)`;COsYJGhb~EGsn*zoNS0Oz_79hSNO`8DJFl8nIP;_ z4K`==6Os5wCi$18TJjQ?0qkOzHK@be%#@j2XlgTcLPY_g%BKYBksbFL1OQI0Oy9Dn zO-e2OpgYJcXWW)4}T-NktS`}SVWr{%T!c-n8eZTzroW`-2qxpSIrYLS?(&o{* ze;+ddw!UfPJROKr?bo*l_dn5zyqxq}l@ZezkvG?ah+R~f8!RwQMzl%#Zd5grV|^E}%qmduJ8qx2#r&Gp=-}7}2i{i{e-LZ!1toPqSa;Fc5E#=8L=srL|1`%3ZX?KGb_YR^t%zn{c-YWb^_`9&ZYEUHH zDaIo|8&@;1h?NW$uTD~HVF`7q@)9-p^RZI?BpAgE2IfSQ8mbe1gC_Xmy6w8?FIwdQPXvenL+XEZzmr)03A|leoYddvcg(} zIqSRV{-&e$(;DjN%QzoKaX!)rClXHd!qp+&Uf_C|n<>}D*WB(m>^5EI&PUK!X%_4>o-C3_bm*2phu?OTt_^9 zz1B$2t1Rub@=>XRR+a)+G74POIJhjiwsSb09eDiI^gz3{z_EQae`gdt+jNChj3EhN zPP-vwUA4)l!MNO!B6Oz4jMdewLOqz=7s(@V^Ht1KnxnyGjhxyo*M${{JQ}SJ4c?q8 zUgEhwhf-R%v52tJ;s*~=YB!3kadm3b;e17Lmu^SHS>)g}-+)^XO71tyt$B0?g4frR zE%y^#GLGT&VX?_agWeveYmoV~I+>;&ocQIsV#IVx#6i|_bMaHhmMg!TPXFn4g?FsT z7^iakMic)#U}K_Ax2H;P>0=K83l3h)xT+(9*PAodCWB))CWmn+iqBrouZBeU!$vth z<`VFogudghWMz}2FK2jf(nqKW;ulc=wXt66Gu}X&chLkt@)kQ{{v@>fn1?DpjVZ=B zF?|Xj$NLJ9!4U1`9POR$Xilk@<8h;t;28e#w9*t}hi}sawewxM!{z-@cq9EMk*Oa( z(?SEkG#Bg`cyHWpu^GNu|58yK9-YL8K7T*15Hx1L?e8vuogo7P!~ijT!8i?6v37`h zCkeKML=`lYZ-pt`;3`6-TzI^~)*B;Doy zmi|uAV*O1bTW}w9L!ILHohr#G7B@G_A%fNr38?#!N9J{$yn=kdj%GMe)sI)*t0KME ziIik*v>(cW z!{h^LrNFXI{!==TUI8m~CXAW$F4gmhbh>d{T2+(S3(|hj-It$*4O#l@rbH5;2Ud4L zM63Y|*|P`Y;SZTB(1BvwAMm{2QQtGwZLR%nU{5))T zZOp9LjK?$6yI$#{*<+x{MKU!7hHP$zyuw3m<>6wwsr1b!=xnZq4&vpxtxt=XDMhy4 ztiL`6pIHB~8$q;GvQUPsg@mm42li47!~9ojrasMF&th5o=J%!l?mk~QxL(@Q*Ucxb ztlVfw-@M}wZP~|U)$d-UDpwL&)C_E3@NDZuajP8Gox4rzSwr+;&NFqw;QqhR{o(1% zz+gI$AVHgGbt)cEXAF&1YGwGOpI`Haa1B1Q@T!en)5NF0U3U}{s%!$d*A#dRgX4GKE{4fkOlC-Mm03t;$B3@T?s;Nfr>mY{KaY0TF-6j z@w@|p(912_%i}vgkh@M%u0-)I`16*^;`vYD9P4XvBtH3mBx+XW#V0@ujC80Z= zVba}J;Hb8sbW(hSh-L>I=nwTk(%2a}XVACVut`qspnW;c>QF)2gq1@AnV-KsC6rn6~*x$uFp#-TuoBKy!i6_cy^jm{fp0N$=S z8kSYNOPM0`Zqa)l5Yp^Jw8|JmO(&juG^`C4r7Bl%JGNYZ5ERs$_nVVxxyd#>b8VmC zyp#~_qSzMoxIEm(dM`Up?{_Jm(YOLv_@$(u<~Pa8_V#Dq;g3B;GrMwZn=Uz&o(Fyp zM~9trIEJ~`X%e2H$-*hpSCd51`5^~#0Qc>VWBBld)QgifAPWQqU(f`qzm$-|r(JLJWy)T=fy$n=q6S-)P;`%mW6bX+4G_Nc86k}8|-z`B2Q zp(-DE_O#!Gu;Sdb<USX-4a=PWb=$q0Q;21*;WdxG$49SK~d41@ue8DbkK+%bW zByLTq32d))7n&%bq(ABd*^h2ODiI8Ij6YXM0l8*{yjVOr*O?Yyp@FIJK_J(|dpC+K ze74-Nq_PuV*3*2W&cyNi%w+fkzqEK(-#7pHnCie=wt(QduksUKi0pzCMpL@OLE*?F z6dO{hf=`V>RRSpc7^NXk0rUtoC1&KI>9u^v_hloxNHEAzl5$oqs(2g7LKJ>!w<_9I zU8u6-biY)<-{Y0OZya9vQohMwV8$E@WMFzL-)+_#@frkju$&!!f{N+$@(AYxh}z3UVneOiYdYLlaYJ{-5Ay zpYr{G{1kv(5%y^U7Relw@VKNqe3`(fTD>i#g2hE(wEQe4%&MP_pD_sKSRN?VkBtT{oR z)qS+oW*;?#YPSL*eTrQ4u$dog?WZ1^e}kN2auE05xS)VA$JCi!m_QVB+qfhl^=;rC zYIFaAI?B18Y+c_p$1+dYBU|Pq+uT1oD^yBSm8@Mg}dg)wLkb`PWLUr2EdOJluzD$H*wR9MqVMGcwErLo%hO8dzWqQ#oUG4(|! z@#F%qaAoAp82NdGu||gRpE=knx+OFy=-yP$A15cLpLy{QJIaR~@bevNl)$?Lw+?>n zT{1^m3RH{dGg3hI`0`uF_OFK0;b*O8A|Su|aFKR9REap4b*-FgRx`3f84JCe5a9gk zE6^()kRp-CXA#?>z|1`0cHRl~KgcH)^h;=FF!^RkpPC+yp=IApSvUSY97X~9o6kcU zWM%`@H%}FL!^-3Y|J+=%SbnFsJk^?v&Nn9dM_3kaG~%Zj*_UIW1aSfs$@M55Js;|gFyBkT3c;eKO){Nhfc>Zg%-Fm)y9g&`}O|%rf^Vov`TGd~) z`68DXO%7fmU0pYkMt=TOvW&czXqFCAcyImC`8T#yWV_;wDcorpT^Eog$l+SuLR^XV z8Y&XT_AOkqQ)v$3;e6FV50`2Fz}Cr$2I2m7Z?KhQG_ZL8=kj0sg~=+0zNp32F8C{- zsjzrnZwuR4m_r^uj&t6G!(>ydb&2$J$RzLuCSGUaz1kcq+9~0dATQV@AzL7}(n4^B zz7XRPMNj-l2)?;d?pgplg6~pUx~dPiETzslZnorz$iI9-zk~9`h#3S-0PNM*+_x-E zCZTz9I6^jHO&;0&O$%$C@_+z!B(iEk;M`oXIZtAKEmfz*DKcPQX5VHG6zd2SC`)wV zyynU01II&O6P;f^YBjFD1o~EN^So8i!SB3`lco=7pqW}m|NM2kpqYKt{T!F5&v;h= zOMT73E)f}3-Tsj+7V#XGhe7DK@yBw^mX1TKcn$%nQJ%SeW z=ZQ~kb=$8*@gV#~_syH6Kw!75n!Rg}usFZK4&>^C$Iz%R96;lW=hmAK2ay4euSq2U z&ioQg?hFw#A^1znqxzA|eU+_fcc!nG^22ARn=NlxNpPjB+Q`$0e<<(pc&)vv`Zwd@ zl!wxPTvFGNFOaxA(gRuJ;|LQPpEEY}ghgcl0H~q3U`we9Zh7{u$_6~UI%fYg`o4h% z%#JIGL*&iqUA=rjDgy8gZreZ_H7tu?F&TtIr8#L>uWv{XZ@6J9H_(;_)+E`3E z)|@=bKakN!I*Nb^x)2-KKy$Wm=6dt$VP*=W;A2+M%vps zModfQ5!qNNa;hSi)jTt`^YN1F651J5G^K5lTj2>Zg~P7R+_=9XcZengpD8HFfvL7B z7D)cC&9?f^rt={gSaW;!FQBSnX9>m9v&e2y&h~)BslB_4ME(o7_v*IPEs~$URu4!A z*R4%2T9lm*FVRCK3@txIgT?uTR+g*vW%X()bygm*(ZKZZmPQ~iIU-JJ89X7@<^*Cw z=-k1d3M*y!-nuk-D=8@rg)5(T<=;!Xrhfo7#LSDd zvTDH(2nRHy?E6SjbB@sDZdsEkRW9H!~MS%MShRQ2#so6_|AOO;~n^F&v=6NRKhfRh*M~ZONu(h-9J{b1v=+Q(rsL+BmI)KA}J?|QsJ|9x)IFydX>xV3|pkk z8dPu&Yg3!#2*8RMEffs3s(*J0>zVgBp9C{Kc#>-c#l1Rw&3VpyM8e?3jGrwl*)Qz|S)9omdB&YVcC^pzNYd*3&Q_C?8Z5ZR=@4B|eN`$aWtnx%~(Pb@Il^wyMUF z4&JqUhEN%-`l^*#`p*g~AOtvIek18_O2$>@ZVde3#O3nv{PsZUr)IQYy4(M0i5R4w zlI|v6kUj-xDzP2u7zLGH0LZ;_g&uQKY18fPDQZ8hVfG^D{O;<)HyTE19`tJ5S`F=I z@a5lwzr%hxZ#SE@`aZVqZ^J^|l|DY?MluP+bL;Yf$?bpAkIM|557sFw`1~#4%+-=7 zMUnM9M6AuHF7F0oXN!+-KjOJ>D-y8OuUb=E{)Hj-iga-jU$ddBtMz>xH=1==F6x3H-M)u?N#N_DwQtXM$kJFx2DIMu;O6w` zGAqa7rt^u`+>Ish#pi5HUq>@zB^525c1{ z_wtXpEbd2CE!^iXzG@31hL4a@99p`vG>!w^Bq4@_F9;eGgg!F7!l%1?_n0U=m!)6L z@>kW4u7Dd)r$})!G8#x>xA!LpDxYgXz`;D1{GTg{6-c+oTI8{v*%3K%Kt~6gNGWJ; zSf9O2rDL5k$qkiR=QnkP;${cUZzNE}yRLkqia=5zi(^%zuU^q&tQH(Sq^iHPBm0Qq zcDTIdax{+_34vQ0g{vIVi!HYL=d<7(65@cg1?8NENqH@A>nL&|}CUvM`4l$3do zAcNEOXZ-HTyDpN=k2(DL{(-Sdj=WU_lfQDRk@ zIFTN}ro)5Y$Y1Q}-Ti!3QSn}$E)7_1 z^LAQHdw1}`UILm0GH7~c(K^^&uQ>B@CR@#6lC*?@j>gUf6)e{L*^l*FRs2Pt7Z$%a zOobpl=-zF1x$BO@;>BDKZqz@^z||-wx5JGZwxkM)R)5T85phBQUDGL)Z-1)NkH1Dv z1NDxT@L~-VM!J}+qrISYSB^Fp!BX4FjfX6EDMfs|iO=tw4Gnh=iY3!5G!LL>T?iUJdaK%Q`zK7FpBYau=6p_$IibdEXJlc2r8LicH5UX7 znvIC`3>wTkEf(kBNMZ?2Wg~)?rGXLlrZm53XjNEe4KrsxmGYrMi1r`KaKJaOTq)zs zCA*l$HGNy@!sgbtP zI+_2lo{-=kdd|!y4-9(w*UbQU-#m2A8HIinjW(9!^Ps`T6FO~V$fjw=JqfTSk(c@U zlQ6CicU7~-1)|fZv34ah_Y{K zcJ_71Ih_fR1Hyk^3iGly3^#pVe9kEZAB-%jjwEIhH|$T9#s>RI6e%8EaVbAKNE~IB zv3>d!Mi*G2!rm5C(M6^8I?#i48LcW4leB;=_nu)4$2DJC$x!f;N_mY>C+_?^0?0Zd zvll2|0Nt1(GGs~U0pc>_y&G!W`msxWZS`>2V&uP@_Ngb((B-7Qws?1&3w@LhJxCH% zN_97=PUH@DFxVtxHPo}3{zWanRKc`xv1BHw{8CQL54fj+M0@9$(Bth7dzpvPlSGm+ zLM6+#hL_5VDjij5_SV6@O!u81?+r1Q-Y=$A)g_eM0;t?iN-GQ= zBQidQE&j(VFep(0i_xebhKs7D!F(ESaCWIQKupeurOloGTaHcBhLfW6?KpgKwLrH& z6R<-6ycTuj7_{n*_?%nHTK*_^uRjhZb#QeWvfeU)KG-(!*}$KdCvH2H9)sO~;JP|6 z1PqfI{Yxz+cs`mmcp!pPd@imHcsoRPs>DM+7L18K=A+b+js;|}NLoxvO%ewMq^N3@ z+_5W^ny!dmM+F>#&Yz=(e+H7p2 zVgr0uKiY-Q|8 zb%yB!@-E@eE4q>bt{y0jJ+Fb!&4R@Hzg)xXmC`KipFLvXetq;jm|i&dxt(QSlA?&o z0y$L9P>W20CdpjcU>c<)-*#u3vI*ZlIP;7Geqd6%3nJl?7C~25 zN`n7AEl^1&qcaWv6uiF1tfx%B3RXo-z#`b0%G1tA^6(LEiS@Z}WF!5}%^CPTd+5LR zRk`n4HrV7c{sqq04#Q@NmxjNgwR3^f1Fk-L4r55G%!11xk($jbg=^?7AGni%iDXTDB*PJ569NO z&P%YuEdntA4yv$Y6>dz)rtF6&xo+|+;v#R9@2uR>Qn)<{`*LbG*8z;j>y_VE1@SB2 z2inqZ$8p+Z@im2RSxzOa+kd0B$_G=JYJa}k3O}oNu3u{QjK}ZV`1^nqDlvA7qoUa= zLaLLnYzU3XB(yWw{R6!Ux9wH$-umNFx+zRgjY&EB>2_%PvVqzjB|u=0VyNC`iGSb1 ze&m({Q|v`;m^c+Aw-d#ZN%$CS%4y4Z^SQ7<_-@Z&y^kWzQZ;(~z`)5*C%!Bs7qLLt zPS@y%o*zUS6|ptY;uw16B@7=x<5WYz z8pd=ea6C%=#~BqBm4%zIxAA?cL@G$viL_vZ8Q>2Unc|}2UkZX!PgP5YUYWvBY{&D^ zPUNzb1ht@C>icc9fs|EJjR#Ax*wDXYZa6XcFKg@)xOe0Bt6m6D#L~45cI8yJobe_o zFyRK&E)V+wh%VCuAgh0RoI#ThDm)25H#PIOgD)NhI=2Np%{Dip_0!TpMDr1tZ;mL1 zb4T9+>7A17@pV3Ot%N};7?HyCFrY61v^Z$y$1n}36QD@1-`vQCEsV;B_8Narfl%O1yzS;%jws zk6V}kkJ0%I&hplbIv$P(6yigLowKj-@C*2XS38=Ji8hpaorLCuPv{yIe#}9g)w$Jt zAn!hc%k#t#P>>Tybs9a0nB20jdcb3qw3|I2w)v0$7S6~A+T-JPvM)$W3C$ypL)JQ` z_ImzrqdyHd(%c5>ooPKkp9-Rf|RPg~hE z$Rm>+^34F6Z>wIgxH>zvn^RY7=Ck$U1VfV*0?gFbP;Ssh=%u>G`g(VYs zinu>IMg9BT&+@gb> zb=us70~G6WbDg~fe)0KxY23tDPcusl`WK3osaoEYgr#6C7l}l4n#beQO~(C;K?Hm| z^)s8oCL-uRYdOFn)3`pYs9LxfZj2MB#{f7cH?4=roF6@GJm8ABe(_L2`eog8_eB&n zh4v-CgcjKz->dAawkf|3q`;k0ddN2egvtyuS^q?A-IUd6aXdCx$p!Kv7|pD(neUjq)$ z1Z)1=oN9{_WR;$+^zY4&ykzQFpnIneUi9z{z&@SI%g-Gry#^(a{f_}Dl! zs+YhV7b%Gi&Ucy*qw^Qsq4T}cdd^Qp_K&!rlh>-lQ>zFCh9_CuTL)!PuU4^8lDhzz ztje}ni#i&K!v3ll#_P@VbP(l5lbk&1@E2T2;N>8&D#ud`grw4s_pY^IsYHi7egz}7 z<4O`b9h_L9DrsFtS`oT;8fxfGZDMCUDt?=lJVey$-v=my-oY~z3VLocrj=xa=$rEB z6n%uVEWf?sZ9n(Eoxvdw&wnB;?_ZYJ0JAx0xq zWdnlTI(f1{Vi^NGf*qHXnR?oxU{+vEqN(uO^GhS4M_MbYp!K>BxEuZJrW4vBt8 z;+B?$y8i47ha`ZHff5KQurR!mK>p5&?uf)+1BO5ep#xt&__OAs%X=~V{FF9k@DYlj zVK-!RwepcEsl@TKbNar&KZjXQ6dBfqgZPz9=aJJ4cLiAfDUxt4d+ev`hA}{5mT80F zN@ji(5cPelxpLb@NG(s8CLUk>^Wmb&_|Z!_36tz92a}W@ev)yqJNo5CL$V9nlJ z|DnqiIWwR!Gjo-ViY%NBYjK=d;Q!N9`(e)QE$fFI_spG8@O%PuabNdjP2lJ()qA}r z8!$UPLS%_aT4ZxCy;!pEGqs4@)`WRQX+o?##aSo3K22?@2Wml?EcgFk7x5`TK)^esV=_cRs#%76qn29eY<-*aZ z9}PA}2wR_lOqgL`&k3O~Q9!_~T5vGo%k(@Z2>5?Zy@f;6UC;)~F5My^je?{gjS_;= z(v3(XEYd8BbeD81Dd|1ycfar6{R4h`&YW{5o|$=O`%iUd zuSemVecA&{{ppo9dRpw7UP&AuS4ZQP|M>A@EV1t#Q?nqhX9=9U!!!+2ZBlTD`UeKv ztge<6*%msj&{%D> zUT^l>U90Yx5ZoB5>94wRd~;53uqwKMHDP7%t4@yA6bpG)4c*(Tn?H2>f{1>FF0-Dq zMhXxKZ2(rZq1-6%a{2x9durF)x|Qg_rt?*FrtlLPvCt8Xh4+#|e;en zHi;UgJKFIwAAN=avvfyzE`lc+E-5O>08N!vu>Df3bOdTM9w*C$h0-8u{1(9QjrB7VxT>Ui{0I5Tw9 z|M1V~*x5?T!;WY1*#ZXocoJ<_P-zZFQXwJui(0X}X!FB)3C{A`w3RjvS_i^$9;m`g z!h*0)IODb{8@X#=pxj*t2S#<95c*?HePbl`OYQ4JPzgR=-Hr&+07bZm8Mel(7P7e@u=2p0X?u1WNl7&{oocpMd}?>E0Q6YI}v zq;z@XSKikRKt;^|EALZyR-wC!=FBGVME}{^%NrLVB2cokbkWDt6wOtl<|p$KhUQ*V zE6w+o=?N{uu`8T0k%HSy6-Q5u(TVMNv5a9o$yf9CX~3LMd^S7b%VGZW^J|+z38kc4 zvC{IruFNP_0mbqepw-R=(7sa}RDi_uQMFNcn*QuLx>`;L)PC5rg-orli6$73UU0vq zXHoI#uqTD&`O8R|P3y-07%0KI+S5A3LL4W9Pv7x9FHBdGLza^6wftRe)0XCrsf5XF zemLzc1lhu;1Eiu+?u#MzWcih?rLnjV6KY6!b6YFMPe{Mx8B+qMFc1nQ8z^zzG_;@H zOwb)+sc2^{Q*(V}jVt3~pzdX_wnZQG#TU>8t5oO0-NAyySosch@y0zm|O{;Sf- zw_BF@X%+=$1UagT9{y_0WgSl)7L-RpuykTm|xghzPEGL7U>3;SuuG=dYS!+qZ zsQn5b@_}Wvlm5QBGM&I+2tA>Zfk^OqV`3&9#jNpzBF@5As~s=eH^d;N(rJG>eBa>O zWf;NsIr&m7S)qk>3nfz)0%TTCXHP05W)<3>_IK(f0=Hv_N)5T{(qbBQcaM?qBj?Wo zMK*!r_eY`Vy2C2n`{A|7j2J)q$0h|r_{5qCAwr$+n{vjrKpr(6{ zzxh<$lT?3)rqa@04@sA2&q=7JzOSQ>HJ!F%CB-o8Sz5tp8x&1awvLKF8P0u*7e-IV?q%ho~4BJuH@>(9x;pMdWy z4f^xFA%`J-)s6QgZdAG+b%H+3NOmDHQDqF1-P?0tQ`OT0oxZ^2aRT+PS6R^GkXXky zofho8ig!CqmNpLszk@*~V#&(8T3e`xKuxyfMc^pRy_%fltk_v8_d~WkX?{q~_1^?M zBK$p$Ta&`K_^Q(L(#4ugJJO1s^;xS&5j(zX7wj#>1;o8gnGSrzKlE~75_@RIExw)0 z$c2VKg8{1_GcFCMS$UGC!`^I`SucFzUiY1Zub9Y$LIm0zjWK5rty~u9c3iSNXdEUrm^Ss zgjAzI(2-p#8{ZvlZ3cx4-7<{$r|*xokVFa#1xr9Wk+YCRMv8CT{7m>2^NFg${9yzE zwe>=qLs(DX@>Z&+IX)+_!avbdHhoCyAR-n?nOhdTy#rf4&%KX&hUm3Skk(hs4VA5d z%BM$w>ncolfuv9m8F`hUvadBUDWk62g+Qr7#(UZRPoVnOyW(*H?>O=4qXSdKGAoO% zrp_!EFylC9iW`S}Psohk@zG9iA3mQ|rzORwx21f$^OC;woqAK=?-|^hrwSyJ6o+!V*F5;_k-lR4S{_dX7a_;x7nZwC@BT2yUrgT>fc4-i&WKGnl97 z9{^dG0ugdCV+w<(NYW|6mr$zVbzF-7erNn8i45)bao~ScxqatlKu@-C*757b&c@1! zojpT!HbU9T+aGE{cn}TrOr&lgxRqm>MNDR~r@TsRkg`EUaSxkVS|^F$XTp{tz-=jQ zNGxPUaT|F~Tu9RF2`3^}y4WTl0S!C0d`<|5aHCgVD3;HGDa`L`DgjEt( zkcgrsnMf!cS3UkuAN+7^a~?EqFiW`Ki9VI&j;2`0roGv1GYH55lJnuk(id|=CF#7# z&hYWhKql#PwOgL$T)?Ff^@~yas_eg=U4q31wO8xwxb}74%b$>rk-%3aX&47=HM5OF z=Qkp7PSZ*7=_^821r&dgg9!*xvA^^QmDhPwmC&+vfxC5pIDovK7a9L&bV6&nKNigb{KFevFeer`R8 z9rfAd{U{!lK`ZN5N$%|SeSZEFX5D8QvMo+oG4pG3Zi+xD&H$wtQPbVw@PzUmxfQc< zrMy2|8#q(*s8*cBzg>LB>K86+p5v3|s+EU_g2n-VxW!)Sbs|!`Q5ZN^Dl_9or0YMm z$f{e4gG+*9qnr}+l;jWymg=ms3&;5Z)04f$x0KQIp4I^p+JI|Q{l~?`Yeap3qVr;& z8InLE)J)@VX7u28* z(tu7-&^h2BG~a+jdO&RK?7ceaM}3~IypzbwC2vS=9*;ZW)&67fmr0=;5wVzZ+&`q5 zHS!B45BTr>KVFm?S%Ru5W&cAImdG=tZttV!{5zWdNkOr+F)*bk@qdo$DvJU_Fq(C* z5ZPH4{-;)F_lDLTVr<)0s)oX2=l$a=)(?ST2$N=IpQ{=b4KIO0hxZn4cT73!&>OM|nJ8 zj$#ZFp)jLm>@I&#{S#e0Mi+54t{@DNO+J|^l+K*L3TNAJ;Q?mFBW98V_9{!R19y}) zooewolM50`f?rknLEfB#*7N#Xl@5|NNr*y20d3{;RPveZ_j?q*@7xE-LPs{50vxK@ zbWz}L!bRtcpCI2cQp`@OQfxgcPwgbgeaOCy<{VP@t1YR=UDvbhvH=3F<++3A?m;y9 z^zwPAzo$fDLWxr&Qz6&g-(h+ zXuz}qNT>IAo4^O3!ie+n$2mx1KEYL;eFD zPATr9-A8G44F|PF*wGuVvxpGsS%?;s;L6*i(nsGM-jNt_^^icJ`~WY%ea$~iiaKpa zJ9wQR0XuZaJX&b+aPGDN9|iNi<8`SC_xx^|u0fErDak-_YsD}k@;ren5vRQJ>SXmK z&fl$vRq3RTf#z!dE{1w>=XxU0Q|oAB2qzM!oV=Dq$lyhcrUj!JP5jYW@ZFOpvXUgd zU6&4Fmj+qNg_DSdtXj2qLnc{(y0D6Z+$ft(Y0+tZr~dZEwf+CjjHJ_u28_g2G8s*|W762e~s zt*3pbzkdu zvreXP89ubnT(_8rtd}5lt`cVYCT!>0EBIOp4!P(zvIMV!g%8hLW~ctbE5muTrEufP zXeeVz@s)gX&Bo9#`dUK!p0;g8Tqqf5d{wvl@&w}WWv|B=4v&CvY3qAX)N%J$JVD2E zucxz~Vb$o4up#r~GP~%p`Ku*Ls<1$y*RMws=s>ANKnDS05jrg_~~3+)o(-E+PY=>%kT_*<14fB%ez(6p`;}fzO9zwdtEq+7_5eFRX@5gqQxZG-<|tJ;B%N$_RLnl z$5tlWjbvLhLM$FLf?)SGn9V^gK++P$P-CqXK)xT+cPd#P{N=7E!!nw<@iB;sO0HJ1 zlIC$a5}6fJVrgjq_`L|L7#<9K!g+S^=^2>6W8O1c%2;h_kI?G1RsM*G93uL4KGcj8 z6zVwck^4=MFw%lS%<5iY%?MItzBpX_g+In6YrfK#4W0Q=N+yLlWxnB-R0S_oP*Jdh zTz@h!dGA%ORd%b>6CSjAo#jTa3v@+m$S7=e!EkZTRmsYe)K>ADv2_pa1APOepKY5CmuQGR%!6bXNNRvfxOCdbHOUB% z9*&Z4Pkvg?$`Gqp^EmV}+LYa3ujPG2@|fKeZ@w453nUaKQ;6F#v^liW@Wjo&Gw?7w zacQk^EHLuCjA4xEmumGwgrA(L|G>tu8dhSmk6|LQVQVTiH+HS}{`;xAU9F9I3fP3b zr}`Z88?A3FuI)ZUe>jj{uX?o3HfTn(6~RWC6GwFHQ_em}v(7P?oaZIsLO3vzOESci z&e}q662Ox5d&{MOT!q6kBMXF}&)y!7(G6qOCX)fMY2OfsW|uC1CP zcJhiXrpH(W2$T13XSZnSbfIfZy5*($w&-JH8_0kpX^8KOu29>XfpJ^?DXfy~QbCt3 zW74;v8au7H+mTwSTww&h+1u&3Kf<2dcN~19oP&`_3yHSo(3q+DvsnXc+NAg#V&Qgi z-=nsEY+XKGqhfx+4-Xa-n-|Zvg6b2B#8J3Tz8|~Ag}PLCR!2gf%f|vrhk%3Sm9+57 zIU)Wa=9_GvRa>5q++8=zAKK2DUxF|0kqT{K|5_zqrlwWmS36{FszUzO2`b3oCpvd$ zqQGq(c(mJ&ZH&*nKlqPtlxEqVJ)1$G;%VYlqq5>Z)5-fK_3qGVy~T0rEAcF1%6kJL z_{LkN(ThpK2V!2?31TBJyxZQ6=Qxa!-L1)@xu1W@^i%?Rl@oS7}rxJ^%>X>TbsRJuzH!xOihzd=_3$3p-y}NzFi=(KvAApJ^Gr?R-kh zt%590nH8CGjREMZmHx5MXwR3f*B(Jn%EF@`+e}mEt8{uin~#mKfo1Qa<0;vgb|G6s zABOIGEQWqSXTCD@pmFT54YFFr=naMfdc7`R1#Z(aNs?_RbtzS|-9*_(=2ISl;Ni{cdv=WF#E?WsA^P%>kq zP)i4GqZ2dR+eg6)uflail%`+jho$@`h$@aUqyg@zm5zH2VS$RJ@=N9h9&kcQ{DcBn zXZxbN66bZu#b2&U+O38cObuMy8=hJ{5oM|uAx2d-{EtgL@{AH9#VEJA(QgLblARxF zoZ>fJ+QPJdvJepC!buQ5S|Ninj z1wa*ZUU8tet=lv$f@wXQcsRqJ8z3)S+e(j6!Z(BZ2SqMI5CGRWEKc8D+l<4(-QpZJ zT>1~D5hj%e=^~)o>QW7%o)#C;s&EEs55PES+PlJALy1E``MvWDHC=f_E>PHd2dfY= zf}yic7cQ#@dNL-9Uhv<kC3W;4pLz!)w4FkZ!WC&d)GJJ9Q6)XvWtz+8#<{5|yRG%)_ zUAVTvj{EvkOsi&*ao+;L>1PotlI=Q-Kz-*=zoC{6@|Yj=xt7RBs31{;x~ovKUOT+g z;<58aG8=ArQHF5s-{+M(c&5Y@CEREXT&?y;fl5aAeEbf1o z+`j@?y{mk2h=32Q&Ko~r$A_UO!gsgrbR$Q1%XXvfO8#)&I63X1MiaEz+3}v&Vu`deLU)LErq>fW){l4JrQxD~P)(>9~og1BZo=*R#`I75+Utp%g>_W{d*7~}T= z27qI5gKL)v-tAQN{X>QAV6V=rQO$$^)4t(uRNq+z0d%%U(uixFeB?dOdUBj2i}zAQ z=G%UCD^nq3_8N(mM~Cy4w?5nWU~XC2%jQmZjAk!E96!3Ea8C<}$l`NMqyy)^(jdr* zO>Qj4JhS;QVcq>X5w%i~T4QN1tjkTftN-GEyKF4uUA1DUI;QOp8IKzA)p2wI{7#I4N-C6ipwy+^2}P4a$HT#}zM zh+Y0=SibfxGd1i$VpfQOVWqwUCFFexwekZ~3RrMB+fqvT&T; z$6MKl^|~IwhUXu#&|wRRsAJ#qcp6cOTS+Kxe9t`4_P?!~=5Qv5eCJCs`=45ESRwFr zy>BubOH0lzy}^2Mp{rtSXgL~nMQm1T08p+hM`If7 z93Egzqqs3)3_kktX_}SqA{t~*`(M_^u+e1++JHE)f)!l9*fQN@5Fg7xMmf>FWy0js zE&P1U;RLYGvfnK#mvy^u5X9^T% z)GOm#p&m1pYItDA=>SPf9s1BgSUm>db2@{J?pJ zm;R}#{~AV7CSgjD9-^hsHC8%83gTlH`oNuRsbLrPqsl|#_X7w*-F*GpMKHcpbYqB~ zP8wd`%1m$2UVDgP0htY<6Q?ef=MbZ3x-2z*uyLuH_&8=iuN|+|Cn9ybjK3>u#&*4L zzpv6jUclOPoDOEkvu$g>n(Gb;>F1F44RKBC@&SH73`W#yMJ;D@eK;{jYzzah> z3(A-+QW&ty`@{+=NTw!jhlS5QBa`$XJGsdC4+6Vp%c$ET~XH{v*nM z@0%1pixyGbu-a~4{ORT&Q%BJMvh_^y*a_@{sdKU%C4Y+)et4QG0ozkq-8`NY-=Ukn zx#+21%9CJLhdCc)3GyD z2N}A@f&UaqKX>Vwwc|&36i$%)Vvq2aQ$ZR&boO$NJL)98;HIz4$y(cK0oiUI<5AVz zi~3_Jety2cU_7<)-M_GdaZ6urz-n9~Y)SNz&LGzH28uMjFk0mGG?kcEQ3}9PWL_;A z=}mxug0L+0`xdD$uq+dB4LxLP+y1wqRzgGhCY!LBjtQQ>b+9&!TAjKby~*Z-xScZ* z$X?=={PksGpKpzhg=u*;J`xE=u2y2)KIV z+Q?oGN0;aWK|n<`au}k0OQbt%`x*WZPI*3+^7yRSNx9*5LocwQ&cN!;2_f(}7FwAb zr0I{v#n9V8jqfU>{1*z}TTr%Ho{*B;HrcAt4L_+*)U}h~mP7Wgyu7*rah*q4PvLQP zB?*43iq^Yj-p0WkMWo4E!|-arJh5A%Tne9}5TTnYQ6~{aZ0q0 zs@cQ-&_wxMPRIkXiR=f7rO%(VkERsZBupxAw7T^ z4`#3*meVT3nebJ2v9ssSznsyKO+?M@s_}@Pz_3hK6G^x58%%_T$>{nK4<7G?5ykJ6 zrq=jTJH?1R>|6U9Sc^|@l00rCGF$!$^dIEC_-9&v)^MSxy%LHFmJ!n{nSeX!LGmD( zn6Cvbr>GU)A6rnbMCq&*EF!&0dVl^KW8R8Pwe=0FtU9pu(o)-o_)l+7tRis*ITFw1 z5x~QD!sN0*##{3ZltLbk74x*=RSGZQkwQ0U25(hV>QAa27z9li! zO*yy2>*2l95>>VN2TTNnKyCzTwq~S9yy})&N+|~} zo)W|&;c#3uISiKWhKvC=@xK?(MIi)7;sRyw!Wb&Js9u$|b=G+EIVHt-4Xp9rMPqvU z>f%@C$xni%E5Mn(bTB~n&MMwRU6xiKvmJpd$WJHZuahzq2buCTvi?s&3ZFEc&_nF- z*=@@`hwV>8$2nlGpG&R4>6b>()DSl5Agy;<8jt`AuvAzU<3>-{e=On&Sa9|y%49>c zR4xeEmh%)H_Unt7=Uw{6Jbw%!NHdypZe|HvRW$xng8I&6(h=7j@PHEPY))@>S*kre zo>`jg!a3N&w4?fZ@x>M?A21u`C<4ZtR=Z3pA#u$Pp4LP_Kkbsh19*Mf<8oHc?C}0@ zR3|{m{@l0Y`xHi!aD%OKHac_85Buei2b2`f@6nQ*b5`2nxJ<|5N8wy}4SnX>_j(ot zOmBx&E`;(14A|=**n)zzc1bp#nT%@ef-^zr(yqG2m43|fTJRh7>d6p=@%XedKxCId0a8$mh0uJlT$5KF zIN`f4tju77397UkY|o#0r%|c`$n19EGjI&NMOl~TRixQMtJw8S$DvkuUt)LldhM_E z6l-KHjg^8bV{3Jx>GO^sw4*~8U|P{mI_|@?b)!!D{624>YTeqHCxM*WO1|JEw&~W% z;sGihp;hfvk+?^z5m%q#5jadD_B(3{4IjllXYt;-dfaAi@B&WQ%9qliTwc-nW4IN| z|Dbi@=P~J7&l!1k=u z3zUGg=ty+8(<>`=Z2@rR<0w;Tq<2taY6p7Z{{-IwoxSG)PsBXlAGPGJ9G9syGI4fG zzVG>%8k;t^P16~OD%D*961!MMpZ{}@({p^m!&T{0#jXENpj>$qY<2`)7_Zq)JxEjm z9OQ|vhT^#9`P%LcJ%pH)Ww-l>tf9ei;1zR^1kP-IVTEqUyJN_~w^Ajk4?gk(BGWL1Q>(lL2U1c+6@71*8 zUOTi0|FDSW)=8 zmmcDpF7Fi+^Q?t6#J7NF!LVgHA^*R@R6+>X=Np8s#l?mwVOyn#EDdqz^3(qosSE>) zJlDqTl~%L|+5`4q+H=HD2FL>>)nXl{DKM_>*D=rN42ksfjRso1r5gYj-OC2>l5_oJ zw`2F?6|oO24Y`8K_ljGiu_0opmR=+{-h+^+S-f7#oJYP`jo*toXD|lqJ8`-Zf%8y1 zxk;s))H3yve_6JhPn0R)n}}RjP?2++MMAr7X3Laig~9ECIcNbN1&J$0UwM3JiT|qC z?n%B(wBr!=if}qyIrICRgEbO?;R)F>smj>T?F>vz6z?pLtN8~NQ8G6GINX$Yu*=ib zyeKHb`qm48IB>hIL}I`V_^Si^L0Wh6OJ$pi%_QMzNA znKwwoFdEvoSQ{ZJ4CbqG9*cEV+hxx{@= zfXm-TErad2^W!MekRJ0)X(m?q=)PxcfDXQdS8}5;*(C+qzkpen~VmzBUUwwi};a{ zRMo>82~rtNZ&gU>t=IGZQrYdi_L?(G&xBV66#^R4yVd}0;k zJCN1Z7*I7y?w2OI%kG)>h{Egx*8X>-yufP^ik}sjKqG4Z(w1-pat`e^X924Qb$)th z)lvw`AJ;a_lucS6x8~sf_B<7ieYQc$)61K~lg&ZjR7U+putw}_W+2sKdt95t$+_Rh zp1-}%WCK^92kF{Qg1}rhnI3Yh$8xcM6t22T@N8)POlUS(m67f^bmo7H}y(%d-qB) zKjV%cu1mlfAfb;O2D`LB_e($R3~wBS0_V_4Xyc#_CwefyUl(sn9S8hWm9!Mg Date: Sat, 8 Jul 2017 14:36:21 -0400 Subject: [PATCH 02/16] Remove alpha from screenshots. --- lib.rs | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/lib.rs b/lib.rs index 98797f3..21e7a4c 100644 --- a/lib.rs +++ b/lib.rs @@ -26,7 +26,8 @@ pub use shaded_cube::ShadedCube; pub fn screenshot(display : &glium::Display) { let image: glium::texture::RawImage2d = display.read_front_buffer(); let image = image::ImageBuffer::from_raw(image.width, image.height, image.data.into_owned()).unwrap(); - let image = image::DynamicImage::ImageRgba8(image).flipv(); + let image = image::DynamicImage::ImageRgba8(image).flipv().to_rgb(); + let image = image::DynamicImage::ImageRgb8(image); let mut output = std::fs::File::create(&std::path::Path::new("screenshot.png")).unwrap(); image.save(&mut output, image::ImageFormat::PNG).unwrap(); } -- 2.25.1 From 8f5b525e37e82d260601e7052a49a6515b6100d8 Mon Sep 17 00:00:00 2001 From: Bernie Innocenti Date: Sun, 23 Jul 2017 00:14:27 -0400 Subject: [PATCH 03/16] Remove the wavefront object loader for now... I'd rather convert the vertexes to binary and then include_bytes! --- Cargo.toml | 2 -- support/mod.rs | 46 ---------------------------------------------- 2 files changed, 48 deletions(-) diff --git a/Cargo.toml b/Cargo.toml index 4d56af3..36dedd8 100644 --- a/Cargo.toml +++ b/Cargo.toml @@ -14,10 +14,8 @@ opt-level = 3 cgmath = "*" glium = "0.16.0" glutin = "0.7.4" -genmesh = "0.4.1" image = { version = "0.14.0", features = ["png_codec"], optional = true } libxm = "1.0.0" -obj = { version = "0.5", features = ["usegenmesh"] } rust-rocket = { path = "rust-rocket", optional = true } rand = "*" sdl2 = "*" diff --git a/support/mod.rs b/support/mod.rs index b71f50d..add172f 100644 --- a/support/mod.rs +++ b/support/mod.rs @@ -1,8 +1,5 @@ #![allow(dead_code)] -extern crate genmesh; -extern crate obj; - use glium::{self, Display}; use glium::vertex::VertexBufferAny; @@ -22,46 +19,3 @@ pub fn start_loop(mut callback: F) where F: FnMut() -> Action { }; } } - -/// Returns a vertex buffer that should be rendered as `TrianglesList`. -pub fn load_wavefront(display: &Display, data: &[u8]) -> VertexBufferAny { - #[derive(Copy, Clone)] - struct Vertex { - position: [f32; 3], - normal: [f32; 3], - texture: [f32; 2], - } - - implement_vertex!(Vertex, position, normal, texture); - - let mut data = ::std::io::BufReader::new(data); - let data = obj::Obj::load(&mut data); - - let mut vertex_data = Vec::new(); - - for object in data.object_iter() { - for shape in object.group_iter().flat_map(|g| g.indices().iter()) { - match shape { - &genmesh::Polygon::PolyTri(genmesh::Triangle { x: v1, y: v2, z: v3 }) => { - for v in [v1, v2, v3].iter() { - let position = data.position()[v.0]; - let texture = v.1.map(|index| data.texture()[index]); - let normal = v.2.map(|index| data.normal()[index]); - - let texture = texture.unwrap_or([0.0, 0.0]); - let normal = normal.unwrap_or([0.0, 0.0, 0.0]); - - vertex_data.push(Vertex { - position: position, - normal: normal, - texture: texture, - }) - } - }, - _ => unimplemented!() - } - } - } - - glium::vertex::VertexBuffer::new(display, &vertex_data).unwrap().into_vertex_buffer_any() -} -- 2.25.1 From 811e20651e7cc743ad654bda75aff82cae6d33b3 Mon Sep 17 00:00:00 2001 From: Bernie Innocenti Date: Sat, 9 Sep 2017 14:10:32 -0400 Subject: [PATCH 04/16] Improve asmjs build docs. --- README.md | 7 ++++--- release_asmjs.sh | 2 +- 2 files changed, 5 insertions(+), 4 deletions(-) diff --git a/README.md b/README.md index 20c75c0..56986a6 100644 --- a/README.md +++ b/README.md @@ -69,9 +69,10 @@ Install emsdk: cd ~ curl -O https://s3.amazonaws.com/mozilla-games/emscripten/releases/emsdk-portable.tar.gz tar xf emsdk-portable.tar.gz -source emsdk-portable/emsdk_env.sh -emsdk install emscripten-1.37.9 --build=MinSizeRel -emsdk activate emscripten-1.37.9 --build=MinSizeRel +cd emsdk-portable +source ./emsdk-portable/emsdk_env.sh +emsdk install latest --build=MinSizeRel +emsdk activate latest --build=MinSizeRel ``` Install rustc: diff --git a/release_asmjs.sh b/release_asmjs.sh index d03a92d..8464101 100755 --- a/release_asmjs.sh +++ b/release_asmjs.sh @@ -1,4 +1,4 @@ set -e -cargo rustc --target asmjs-unknown-emscripten --release -- -C link-args='-s USE_SDL=2' +cargo rustc --target asmjs-unknown-emscripten --release --no-default-features --bin mandelwow -- -C link-args='-s USE_SDL=2' cp -a target/asmjs-unknown-emscripten/release/mandelwow.js . emrun . -- 2.25.1 From ea6dc9f11f8fa27c1a20ffe2e70e4d2fbe69cdff Mon Sep 17 00:00:00 2001 From: Bernie Innocenti Date: Fri, 29 Sep 2017 18:53:48 -0400 Subject: [PATCH 05/16] Port to glium 0.17 and glutin 0.9. Involved a major rework of input handling. --- Cargo.toml | 4 +- main.rs | 117 +++++++++++++++++++++++++++------------------- support/camera.rs | 94 ++++++++++--------------------------- 3 files changed, 95 insertions(+), 120 deletions(-) diff --git a/Cargo.toml b/Cargo.toml index 4d56af3..9c1bd7f 100644 --- a/Cargo.toml +++ b/Cargo.toml @@ -12,8 +12,8 @@ opt-level = 3 [dependencies] cgmath = "*" -glium = "0.16.0" -glutin = "0.7.4" +glium = "0.17.0" +glutin = "0.9" genmesh = "0.4.1" image = { version = "0.14.0", features = ["png_codec"], optional = true } libxm = "1.0.0" diff --git a/main.rs b/main.rs index bd75e42..5fc2ded 100644 --- a/main.rs +++ b/main.rs @@ -7,9 +7,9 @@ extern crate glutin; use cgmath::{Euler, Matrix4, Rad, SquareMatrix, Vector3, Vector4, Zero}; use cgmath::conv::array4x4; -use glium::{DisplayBuild, Surface}; +use glium::{Surface}; use glutin::ElementState::Pressed; -use glutin::Event::KeyboardInput; +use glutin::WindowEvent::KeyboardInput; use glutin::VirtualKeyCode; use mandelwow_lib::*; use std::f32::consts::PI; @@ -65,18 +65,17 @@ pub fn set_main_loop_callback(callback : F) where F : FnMut() -> support::Act fn main() { let mut soundplayer = sound::start(); - let display = glutin::WindowBuilder::new() + let mut events_loop = glutin::EventsLoop::new(); + let window = glutin::WindowBuilder::new() .with_dimensions(1280, 720) - .with_gl_profile(glutin::GlProfile::Core) //.with_fullscreen(glutin::get_primary_monitor()) + .with_title("MandelWow"); + let context = glutin::ContextBuilder::new() + .with_gl_profile(glutin::GlProfile::Core) .with_depth_buffer(24) - .with_vsync() - .with_srgb(Some(true)) - .with_title("MandelWow") - .build_glium() - //.build_glium_debug(glium::debug::DebugCallbackBehavior::PrintAll) - .unwrap(); - + .with_vsync(true) + .with_srgb(true); + let display = glium::Display::new(window, context, &events_loop).unwrap(); gl_info(&display); let mut text = text::Text::new(&display, 'A'); @@ -193,47 +192,67 @@ fn main() { frame.finish().unwrap(); - for ev in display.poll_events() { - match ev { - glutin::Event::Closed | - KeyboardInput(Pressed, _, Some(VirtualKeyCode::Escape)) | - KeyboardInput(Pressed, _, Some(VirtualKeyCode::Q)) => { - return support::Action::Stop - }, - KeyboardInput(Pressed, _, Some(VirtualKeyCode::B)) => { - bounding_box_enabled ^= true; - }, - KeyboardInput(Pressed, _, Some(VirtualKeyCode::P)) => { - timer.pause ^= true; - }, - KeyboardInput(Pressed, _, Some(VirtualKeyCode::PageUp)) => { - timer.t += 0.01; - }, - KeyboardInput(Pressed, _, Some(VirtualKeyCode::PageDown)) => { - timer.t -= 0.01; - }, - KeyboardInput(Pressed, _, Some(VirtualKeyCode::F10)) => { - screenshot(&display); - }, - KeyboardInput(Pressed, _, Some(VirtualKeyCode::F11)) => { - fullscreen ^= true; - if fullscreen { - // Not implemented on Linux - glutin::WindowBuilder::new() - .with_fullscreen(glutin::get_primary_monitor()) - .with_depth_buffer(24) - .rebuild_glium(&display).unwrap(); - } else { - glutin::WindowBuilder::new() - .rebuild_glium(&display).unwrap(); - } - }, - ev => camera.process_input(&ev), + let mut action = support::Action::Continue; + events_loop.poll_events(|event| { + if let glutin::Event::WindowEvent { event, .. } = event { + camera.process_input(&event); + match event { + glutin::WindowEvent::Closed => { + action = support::Action::Stop + }, + KeyboardInput { input, .. } => { + if input.state == glutin::ElementState::Pressed { + if let Some(key) = input.virtual_keycode { + match key { + VirtualKeyCode::Escape | VirtualKeyCode::Q => { + action = support::Action::Stop; + }, + _ => (), + } + } + } + }, +/* + KeyboardInput { input: glutin::KeyboardInput { state: Pressed, virtual_keycode: Some(VirtualKeyCode::Escape), .. } } | + KeyboardInput { input: glutin::KeyboardInput { state: Pressed, virtual_keycode: Some(VirtualKeyCode::Q), .. } } => { + return support::Action::Stop + }, + KeyboardInput { state: Pressed, virtual_keycode: Some(VirtualKeyCode::B) } => { + bounding_box_enabled ^= true; + }, + KeyboardInput { state: Pressed, virtual_keycode: Some(VirtualKeyCode::P) } => { + timer.pause ^= true; + }, + KeyboardInput { state: Pressed, virtual_keycode: Some(VirtualKeyCode::PageUp) } => { + timer.t += 0.01; + }, + KeyboardInput { state: Pressed, virtual_keycode: Some(VirtualKeyCode::PageDown) } => { + timer.t -= 0.01; + }, + KeyboardInput { state: Pressed, virtual_keycode: Some(VirtualKeyCode::F10) } => { + screenshot(&display); + }, + KeyboardInput { state: Pressed, virtual_keycode: Some(VirtualKeyCode::F11) } => { + fullscreen ^= true; + if fullscreen { + // Not implemented on Linux + glutin::WindowBuilder::new() + .with_fullscreen(glutin::get_primary_monitor()) + .with_depth_buffer(24) + .rebuild_glium(&display).unwrap(); + } else { + glutin::WindowBuilder::new() + .rebuild_glium(&display).unwrap(); + } + }, +*/ + _ => (), + } } - } + }); timer.update(); - support::Action::Continue + action }); } diff --git a/support/camera.rs b/support/camera.rs index c036abf..69e3bb5 100644 --- a/support/camera.rs +++ b/support/camera.rs @@ -2,18 +2,13 @@ extern crate glutin; use cgmath::{Matrix4, Vector4}; use cgmath::conv::array4x4; -use glutin::ElementState::{Pressed, Released}; -use glutin::Event::{KeyboardInput, MouseMoved}; +use glutin::WindowEvent::{KeyboardInput, MouseMoved}; use glutin::VirtualKeyCode; +use std::f32; use std::f32::consts::PI; use support::vec3::Vec3; use support::vec3::norm; -use std::f32; - -//use glutin::Event; -//use VirtualKeyCode; - #[derive(Default)] pub struct CameraState { aspect: f32, @@ -170,9 +165,10 @@ impl CameraState { //println!("camera_dir = {:?}", self.dir); } - pub fn process_input(&mut self, event: &glutin::Event) { + pub fn process_input(&mut self, event: &glutin::WindowEvent) { match event { - &MouseMoved(x, y) => { + &MouseMoved { position: (x, y), .. } => { + let (x, y) = (x as i32, y as i32); if self.mouse_x == -1 { // Set initial absolute position. self.mouse_x = x; @@ -183,67 +179,27 @@ impl CameraState { self.mouse_x = x; self.mouse_y = y; } - &KeyboardInput(Pressed, _, Some(VirtualKeyCode::Up)) => { - self.moving_up = true; - }, - &KeyboardInput(Released, _, Some(VirtualKeyCode::Up)) => { - self.moving_up = false; - }, - &KeyboardInput(Pressed, _, Some(VirtualKeyCode::Down)) => { - self.moving_down = true; - }, - &KeyboardInput(Released, _, Some(VirtualKeyCode::Down)) => { - self.moving_down = false; - }, - &KeyboardInput(Pressed, _, Some(VirtualKeyCode::Left)) => { - self.moving_left = true; - }, - &KeyboardInput(Released, _, Some(VirtualKeyCode::Left)) => { - self.moving_left = false; - }, - &KeyboardInput(Pressed, _, Some(VirtualKeyCode::Right)) => { - self.moving_right = true; - }, - &KeyboardInput(Released, _, Some(VirtualKeyCode::Right)) => { - self.moving_right = false; - }, - &KeyboardInput(Pressed, _, Some(VirtualKeyCode::A)) => { - self.turning_left = true; - }, - &KeyboardInput(Released, _, Some(VirtualKeyCode::A)) => { - self.turning_left = false; - }, - &KeyboardInput(Pressed, _, Some(VirtualKeyCode::D)) => { - self.turning_right = true; - }, - &KeyboardInput(Released, _, Some(VirtualKeyCode::D)) => { - self.turning_right = false; - }, - &KeyboardInput(Pressed, _, Some(VirtualKeyCode::W)) => { - self.moving_forward = true; - }, - &KeyboardInput(Released, _, Some(VirtualKeyCode::W)) => { - self.moving_forward = false; - }, - &KeyboardInput(Pressed, _, Some(VirtualKeyCode::S)) => { - self.moving_backward = true; - }, - &KeyboardInput(Released, _, Some(VirtualKeyCode::S)) => { - self.moving_backward = false; - }, - &KeyboardInput(Pressed, _, Some(VirtualKeyCode::R)) => { - self.turning_up = true; - }, - &KeyboardInput(Released, _, Some(VirtualKeyCode::R)) => { - self.turning_up = false; - }, - &KeyboardInput(Pressed, _, Some(VirtualKeyCode::F)) => { - self.turning_down = true; - }, - &KeyboardInput(Released, _, Some(VirtualKeyCode::F)) => { - self.turning_down = false; + &KeyboardInput { input, .. } => { + let pressed = input.state == glutin::ElementState::Pressed; + let key = match input.virtual_keycode { + Some(key) => key, + None => return, + }; + match key { + VirtualKeyCode::Left => self.moving_left = pressed, + VirtualKeyCode::Right => self.moving_right = pressed, + VirtualKeyCode::Up => self.moving_up = pressed, + VirtualKeyCode::Down => self.moving_down = pressed, + VirtualKeyCode::W => self.moving_forward = pressed, + VirtualKeyCode::S => self.moving_backward = pressed, + VirtualKeyCode::A => self.turning_left = pressed, + VirtualKeyCode::D => self.turning_right = pressed, + VirtualKeyCode::R => self.turning_up = pressed, + VirtualKeyCode::F => self.turning_down = pressed, + _ => (), + } }, - _ => {} + _ => (), } } } -- 2.25.1 From 960dba19090193000258b81bac4f2d69111bbb8e Mon Sep 17 00:00:00 2001 From: Bernie Innocenti Date: Sat, 16 Dec 2017 19:57:59 -0500 Subject: [PATCH 06/16] Update to latest glutin, glium and image. --- Cargo.toml | 6 +++--- support/camera.rs | 4 ++-- 2 files changed, 5 insertions(+), 5 deletions(-) diff --git a/Cargo.toml b/Cargo.toml index c7f4875..67c6efa 100644 --- a/Cargo.toml +++ b/Cargo.toml @@ -12,9 +12,9 @@ opt-level = 3 [dependencies] cgmath = "*" -glium = "0.17.0" -glutin = "0.9" -image = { version = "0.14.0", features = ["png_codec"], optional = true } +glium = "0.19" +glutin = "0.11" +image = { version = "0.18", features = ["png_codec"], optional = true } libxm = "1.0.0" rust-rocket = { path = "rust-rocket", optional = true } rand = "*" diff --git a/support/camera.rs b/support/camera.rs index 69e3bb5..b31b9e3 100644 --- a/support/camera.rs +++ b/support/camera.rs @@ -2,7 +2,7 @@ extern crate glutin; use cgmath::{Matrix4, Vector4}; use cgmath::conv::array4x4; -use glutin::WindowEvent::{KeyboardInput, MouseMoved}; +use glutin::WindowEvent::{KeyboardInput, CursorMoved}; use glutin::VirtualKeyCode; use std::f32; use std::f32::consts::PI; @@ -167,7 +167,7 @@ impl CameraState { pub fn process_input(&mut self, event: &glutin::WindowEvent) { match event { - &MouseMoved { position: (x, y), .. } => { + &CursorMoved { position: (x, y), .. } => { let (x, y) = (x as i32, y as i32); if self.mouse_x == -1 { // Set initial absolute position. -- 2.25.1 From 86128afc09d24831b265937922d88b7eb5f01e62 Mon Sep 17 00:00:00 2001 From: Bernie Innocenti Date: Sat, 16 Dec 2017 19:58:45 -0500 Subject: [PATCH 07/16] Update wasm targets for emcc 1.37.22 Currently, the main loop seems to get stuck, needs some debugging. --- debug_wasm.sh | 8 +++++--- release_wasm.sh | 5 +++-- 2 files changed, 8 insertions(+), 5 deletions(-) diff --git a/debug_wasm.sh b/debug_wasm.sh index cb4f144..b9bbad9 100755 --- a/debug_wasm.sh +++ b/debug_wasm.sh @@ -1,5 +1,7 @@ set -e -cargo rustc --target asmjs-unknown-emscripten -- -C link-args='-s USE_SDL=2 --preload-file flora.xm' -cp -a target/asmjs-unknown-emscripten/debug/mandelwow.js . -cp -a target/asmjs-unknown-emscripten/debug/deps/mandelwow-*.data . +export CFLAGS="-g" +export CXXFLAGS="$CFLAGS" +cargo rustc --target wasm32-unknown-emscripten --bin mandelwow -- -C link-args='-s USE_SDL=2 --preload-file flora.xm' +cp -a target/wasm32-unknown-emscripten/release/mandelwow.{js,wasm} . +cp -a target/wasm32-unknown-emscripten/release/deps/mandelwow.data . emrun . diff --git a/release_wasm.sh b/release_wasm.sh index f3016b0..5738e2a 100755 --- a/release_wasm.sh +++ b/release_wasm.sh @@ -1,7 +1,8 @@ set -e export CFLAGS="-O2" export CXXFLAGS="$CFLAGS" +#cargo rustc --target wasm32-unknown-emscripten --release --bin mandelwow -- -C link-args='-s USE_WEBGL2=1 -s USE_SDL=2 --preload-file flora.xm' cargo rustc --target wasm32-unknown-emscripten --release --bin mandelwow -- -C link-args='-s USE_SDL=2 --preload-file flora.xm' -cp -a target/wasm32-unknown-emscripten/release/mandelwow.js . -cp -a target/wasm32-unknown-emscripten/release/deps/mandelwow-*.{wasm,data} . +cp -a target/wasm32-unknown-emscripten/release/mandelwow.{js,wasm} . +cp -a target/wasm32-unknown-emscripten/release/deps/mandelwow.data . emrun . -- 2.25.1 From c8a400fa16014cd52cf4c3bd218d839c46bdd0d5 Mon Sep 17 00:00:00 2001 From: Bernie Innocenti Date: Sun, 17 Dec 2017 23:47:13 -0500 Subject: [PATCH 08/16] Cleanup unused imports. --- support/mod.rs | 3 --- 1 file changed, 3 deletions(-) diff --git a/support/mod.rs b/support/mod.rs index add172f..562b1b5 100644 --- a/support/mod.rs +++ b/support/mod.rs @@ -1,8 +1,5 @@ #![allow(dead_code)] -use glium::{self, Display}; -use glium::vertex::VertexBufferAny; - pub mod camera; pub mod vec3; -- 2.25.1 From 266501b90392c2f0f02292a6e7231b0974f371dc Mon Sep 17 00:00:00 2001 From: Bernie Innocenti Date: Sun, 17 Dec 2017 23:48:33 -0500 Subject: [PATCH 09/16] Attempt at enabling WebGL2 in wasm (not yet working). --- debug_asmjs.sh | 4 ++++ release_asmjs.sh | 2 +- release_wasm.sh | 3 +-- 3 files changed, 6 insertions(+), 3 deletions(-) create mode 100755 debug_asmjs.sh diff --git a/debug_asmjs.sh b/debug_asmjs.sh new file mode 100755 index 0000000..4536095 --- /dev/null +++ b/debug_asmjs.sh @@ -0,0 +1,4 @@ +set -e +cargo rustc --target asmjs-unknown-emscripten --bin mandelwow -- -C link-args='--emrun -s USE_WEBGL2=1 -s USE_SDL=2 -s ASSERTIONS=2 --preload-file flora.xm' +cp -a target/asmjs-unknown-emscripten/debug/mandelwow.js . +emrun . diff --git a/release_asmjs.sh b/release_asmjs.sh index 8464101..ca5c98c 100755 --- a/release_asmjs.sh +++ b/release_asmjs.sh @@ -1,4 +1,4 @@ set -e -cargo rustc --target asmjs-unknown-emscripten --release --no-default-features --bin mandelwow -- -C link-args='-s USE_SDL=2' +cargo rustc --target asmjs-unknown-emscripten --release --no-default-features --bin mandelwow -- -C link-args='--emrun -s USE_WEBGL2=1 -s USE_SDL=2 -s ASSERTIONS=2 --preload-file flora.xm' cp -a target/asmjs-unknown-emscripten/release/mandelwow.js . emrun . diff --git a/release_wasm.sh b/release_wasm.sh index 5738e2a..9e4992f 100755 --- a/release_wasm.sh +++ b/release_wasm.sh @@ -1,8 +1,7 @@ set -e export CFLAGS="-O2" export CXXFLAGS="$CFLAGS" -#cargo rustc --target wasm32-unknown-emscripten --release --bin mandelwow -- -C link-args='-s USE_WEBGL2=1 -s USE_SDL=2 --preload-file flora.xm' -cargo rustc --target wasm32-unknown-emscripten --release --bin mandelwow -- -C link-args='-s USE_SDL=2 --preload-file flora.xm' +cargo rustc --target wasm32-unknown-emscripten --release --bin mandelwow -- -C link-args='--emrun -s USE_WEBGL2=1 -s USE_SDL=2 -s ASSERTIONS=2 --preload-file flora.xm' cp -a target/wasm32-unknown-emscripten/release/mandelwow.{js,wasm} . cp -a target/wasm32-unknown-emscripten/release/deps/mandelwow.data . emrun . -- 2.25.1 From 6c3d203c68fe97ef4bdacb0edb42ccab13fb862d Mon Sep 17 00:00:00 2001 From: Bernie Innocenti Date: Wed, 20 Dec 2017 16:21:49 -0500 Subject: [PATCH 10/16] Don't request a GL context with sRGB enabled. It's not supported by the Intel Linux drivers (not in my configuration, at least). --- main.rs | 3 +-- 1 file changed, 1 insertion(+), 2 deletions(-) diff --git a/main.rs b/main.rs index 5fc2ded..02bb86a 100644 --- a/main.rs +++ b/main.rs @@ -73,8 +73,7 @@ fn main() { let context = glutin::ContextBuilder::new() .with_gl_profile(glutin::GlProfile::Core) .with_depth_buffer(24) - .with_vsync(true) - .with_srgb(true); + .with_vsync(true); let display = glium::Display::new(window, context, &events_loop).unwrap(); gl_info(&display); -- 2.25.1 From 3e5563ed624cd5fc485b89aecb59d8be8a78f696 Mon Sep 17 00:00:00 2001 From: Bernie Innocenti Date: Fri, 6 Apr 2018 21:37:10 +0900 Subject: [PATCH 11/16] Update to glium 0.20 + glutin 0.12 --- Cargo.toml | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/Cargo.toml b/Cargo.toml index 67c6efa..dd09b48 100644 --- a/Cargo.toml +++ b/Cargo.toml @@ -12,8 +12,8 @@ opt-level = 3 [dependencies] cgmath = "*" -glium = "0.19" -glutin = "0.11" +glium = "0.20" +glutin = "0.12" image = { version = "0.18", features = ["png_codec"], optional = true } libxm = "1.0.0" rust-rocket = { path = "rust-rocket", optional = true } -- 2.25.1 From 5472d544cd467961d9ed1eb33cc89581938a131c Mon Sep 17 00:00:00 2001 From: Bernie Innocenti Date: Thu, 31 May 2018 21:44:29 +0900 Subject: [PATCH 12/16] Update deps: glium 0.21, glutin 0.14 --- Cargo.toml | 5 ++--- 1 file changed, 2 insertions(+), 3 deletions(-) diff --git a/Cargo.toml b/Cargo.toml index dd09b48..5698fae 100644 --- a/Cargo.toml +++ b/Cargo.toml @@ -6,14 +6,13 @@ license = "GPL-3.0+" [profile.release] lto = true -# the crate `bitflags` is compiled with the panic strategy `abort` which is incompatible with this crate's strategy of `unwind` panic = "unwind" opt-level = 3 [dependencies] cgmath = "*" -glium = "0.20" -glutin = "0.12" +glium = "0.21" +glutin = "0.14" image = { version = "0.18", features = ["png_codec"], optional = true } libxm = "1.0.0" rust-rocket = { path = "rust-rocket", optional = true } -- 2.25.1 From 74aad1b6c6085cf10ea34868a0a1cc78d0e66695 Mon Sep 17 00:00:00 2001 From: Bernie Innocenti Date: Thu, 31 May 2018 21:45:11 +0900 Subject: [PATCH 13/16] Tweak the wasm build scripts --- debug_wasm.sh | 4 ++-- release_asmjs.sh | 2 ++ release_wasm.sh | 2 +- 3 files changed, 5 insertions(+), 3 deletions(-) diff --git a/debug_wasm.sh b/debug_wasm.sh index b9bbad9..e63591f 100755 --- a/debug_wasm.sh +++ b/debug_wasm.sh @@ -2,6 +2,6 @@ set -e export CFLAGS="-g" export CXXFLAGS="$CFLAGS" cargo rustc --target wasm32-unknown-emscripten --bin mandelwow -- -C link-args='-s USE_SDL=2 --preload-file flora.xm' -cp -a target/wasm32-unknown-emscripten/release/mandelwow.{js,wasm} . -cp -a target/wasm32-unknown-emscripten/release/deps/mandelwow.data . +cp -a target/wasm32-unknown-emscripten/debug/mandelwow.{js,wasm} . +cp -a target/wasm32-unknown-emscripten/debug/deps/mandelwow.data . emrun . diff --git a/release_asmjs.sh b/release_asmjs.sh index ca5c98c..c4e6f33 100755 --- a/release_asmjs.sh +++ b/release_asmjs.sh @@ -1,4 +1,6 @@ set -e +export CFLAGS="-O2" +export CXXFLAGS="$CFLAGS" cargo rustc --target asmjs-unknown-emscripten --release --no-default-features --bin mandelwow -- -C link-args='--emrun -s USE_WEBGL2=1 -s USE_SDL=2 -s ASSERTIONS=2 --preload-file flora.xm' cp -a target/asmjs-unknown-emscripten/release/mandelwow.js . emrun . diff --git a/release_wasm.sh b/release_wasm.sh index 9e4992f..477000f 100755 --- a/release_wasm.sh +++ b/release_wasm.sh @@ -1,7 +1,7 @@ set -e export CFLAGS="-O2" export CXXFLAGS="$CFLAGS" -cargo rustc --target wasm32-unknown-emscripten --release --bin mandelwow -- -C link-args='--emrun -s USE_WEBGL2=1 -s USE_SDL=2 -s ASSERTIONS=2 --preload-file flora.xm' +cargo rustc --target wasm32-unknown-emscripten --release --bin mandelwow -- -C link-args='--emrun -s USE_SDL=2 -s ASSERTIONS=2 --preload-file flora.xm' cp -a target/wasm32-unknown-emscripten/release/mandelwow.{js,wasm} . cp -a target/wasm32-unknown-emscripten/release/deps/mandelwow.data . emrun . -- 2.25.1 From bc4a9e03c61f33a2cd3304f3f02fef19d867b1ff Mon Sep 17 00:00:00 2001 From: Bernie Innocenti Date: Sat, 30 Jun 2018 16:29:40 +0900 Subject: [PATCH 14/16] Add a .editorconfig file (4 spaces indentation) --- .editorconfig | 16 ++++++++++++++++ 1 file changed, 16 insertions(+) create mode 100644 .editorconfig diff --git a/.editorconfig b/.editorconfig new file mode 100644 index 0000000..5ca9c0f --- /dev/null +++ b/.editorconfig @@ -0,0 +1,16 @@ +# http://EditorConfig.org + +# Top-most EditorConfig file +root = true + +# Unix-style newlines with a newline ending every file, utf-8 charset +[*] +end_of_line = lf +insert_final_newline = true +trim_trailing_whitespace = true +charset = utf-8 + +# Match config files, set indent to spaces with width of 4 +[*.{c,cc,cpp,h,rs}] +indent_style = space +indent_size = 4 -- 2.25.1 From 6ef74275da11e766c2cbe53b676df6188203cae3 Mon Sep 17 00:00:00 2001 From: Bernie Innocenti Date: Sat, 29 Sep 2018 21:13:36 +0900 Subject: [PATCH 15/16] Port to glium 0.22 and clutin 0.18 --- Cargo.toml | 7 +++++-- main.rs | 34 +++++++++++++++++----------------- support/camera.rs | 16 +++++++--------- support/vec3.rs | 2 -- 4 files changed, 29 insertions(+), 30 deletions(-) diff --git a/Cargo.toml b/Cargo.toml index 5698fae..5d8d385 100644 --- a/Cargo.toml +++ b/Cargo.toml @@ -1,8 +1,11 @@ +#cargo-features = ["edition"] + [package] name = "mandelwow" version = "0.7.0" authors = ["Bernie Innocenti "] license = "GPL-3.0+" +#edition = '2018' [profile.release] lto = true @@ -11,8 +14,8 @@ opt-level = 3 [dependencies] cgmath = "*" -glium = "0.21" -glutin = "0.14" +glium = "0.22" +glutin = "0.18" image = { version = "0.18", features = ["png_codec"], optional = true } libxm = "1.0.0" rust-rocket = { path = "rust-rocket", optional = true } diff --git a/main.rs b/main.rs index 02bb86a..175fb61 100644 --- a/main.rs +++ b/main.rs @@ -8,9 +8,8 @@ extern crate glutin; use cgmath::{Euler, Matrix4, Rad, SquareMatrix, Vector3, Vector4, Zero}; use cgmath::conv::array4x4; use glium::{Surface}; -use glutin::ElementState::Pressed; -use glutin::WindowEvent::KeyboardInput; -use glutin::VirtualKeyCode; +use glium::glutin::WindowEvent::KeyboardInput; +use glium::glutin::VirtualKeyCode; use mandelwow_lib::*; use std::f32::consts::PI; use timer::Timer; @@ -65,19 +64,20 @@ pub fn set_main_loop_callback(callback : F) where F : FnMut() -> support::Act fn main() { let mut soundplayer = sound::start(); - let mut events_loop = glutin::EventsLoop::new(); - let window = glutin::WindowBuilder::new() - .with_dimensions(1280, 720) - //.with_fullscreen(glutin::get_primary_monitor()) - .with_title("MandelWow"); - let context = glutin::ContextBuilder::new() - .with_gl_profile(glutin::GlProfile::Core) - .with_depth_buffer(24) + let mut events_loop = glium::glutin::EventsLoop::new(); + let window = glium::glutin::WindowBuilder::new() + //.with_dimensions(1280, 720) + .with_fullscreen(Some(events_loop.get_primary_monitor())); + //.with_title("MandelWow"); + let context = glium::glutin::ContextBuilder::new() + //.with_gl_profile(glutin::GlProfile::Core) + //.with_gl(glutin::GlRequest::Specific(glutin::Api::WebGl, (2, 0))) + //.with_depth_buffer(24) .with_vsync(true); let display = glium::Display::new(window, context, &events_loop).unwrap(); gl_info(&display); - let mut text = text::Text::new(&display, 'A'); +// let mut text = text::Text::new(&display, 'A'); let mandelwow_program = mandelwow::program(&display); let bounding_box_program = bounding_box::solid_fill_program(&display); let shaded_program = shaded_cube::shaded_program(&display); @@ -181,10 +181,10 @@ fn main() { col: [0., (1. - wave).abs() * 0.5, wave.abs()], }; shaded_cube.draw(&mut frame, &uniforms); - text.model = model * text_pos; +/* text.model = model * text_pos; text.character = (x + z * SEA_XSIZE) as u8 as char; text.draw(&mut frame, &perspview); - } +*/ } } mandelwow::draw(&display, &mut frame, &mandelwow_program, model, &camera, &bounds, wow); @@ -193,14 +193,14 @@ fn main() { let mut action = support::Action::Continue; events_loop.poll_events(|event| { - if let glutin::Event::WindowEvent { event, .. } = event { + if let glium::glutin::Event::WindowEvent { event, .. } = event { camera.process_input(&event); match event { - glutin::WindowEvent::Closed => { + glium::glutin::WindowEvent::CloseRequested => { action = support::Action::Stop }, KeyboardInput { input, .. } => { - if input.state == glutin::ElementState::Pressed { + if input.state == glium::glutin::ElementState::Pressed { if let Some(key) = input.virtual_keycode { match key { VirtualKeyCode::Escape | VirtualKeyCode::Q => { diff --git a/support/camera.rs b/support/camera.rs index b31b9e3..8460197 100644 --- a/support/camera.rs +++ b/support/camera.rs @@ -1,9 +1,7 @@ -extern crate glutin; - use cgmath::{Matrix4, Vector4}; use cgmath::conv::array4x4; -use glutin::WindowEvent::{KeyboardInput, CursorMoved}; -use glutin::VirtualKeyCode; +use glium; +use glium::glutin::VirtualKeyCode; use std::f32; use std::f32::consts::PI; use support::vec3::Vec3; @@ -165,10 +163,10 @@ impl CameraState { //println!("camera_dir = {:?}", self.dir); } - pub fn process_input(&mut self, event: &glutin::WindowEvent) { + pub fn process_input(&mut self, event: &glium::glutin::WindowEvent) { match event { - &CursorMoved { position: (x, y), .. } => { - let (x, y) = (x as i32, y as i32); + &glium::glutin::WindowEvent::CursorMoved { position, .. } => { + let (x, y) = (position.x as i32, position.y as i32); if self.mouse_x == -1 { // Set initial absolute position. self.mouse_x = x; @@ -179,8 +177,8 @@ impl CameraState { self.mouse_x = x; self.mouse_y = y; } - &KeyboardInput { input, .. } => { - let pressed = input.state == glutin::ElementState::Pressed; + &glium::glutin::WindowEvent::KeyboardInput { input, .. } => { + let pressed = input.state == glium::glutin::ElementState::Pressed; let key = match input.virtual_keycode { Some(key) => key, None => return, diff --git a/support/vec3.rs b/support/vec3.rs index a515bde..175c7fd 100644 --- a/support/vec3.rs +++ b/support/vec3.rs @@ -1,5 +1,3 @@ -extern crate glutin; - use std::f32; use std::ops::Add; use std::ops::AddAssign; -- 2.25.1 From dc34aed50b5cb3840468af2591c2a0ea0f8abc29 Mon Sep 17 00:00:00 2001 From: Bernie Innocenti Date: Mon, 15 Oct 2018 00:43:40 +0900 Subject: [PATCH 16/16] Use GLSL 3.0 ES for all shaders --- shaders/mandelwow.frag | 17 +++++++++-------- shaders/mandelwow.vert | 9 +++++---- shaders/text.frag | 2 +- shaders/text.vert | 2 +- 4 files changed, 16 insertions(+), 14 deletions(-) diff --git a/shaders/mandelwow.frag b/shaders/mandelwow.frag index 0551a34..8107b3d 100644 --- a/shaders/mandelwow.frag +++ b/shaders/mandelwow.frag @@ -1,7 +1,8 @@ -#version 100 +#version 300 es precision highp float; -varying vec2 c; -varying vec2 z; +in vec2 c; +in vec2 z; +out vec4 color; void main() { float zx = z.x; @@ -12,14 +13,14 @@ void main() { float zy2 = zy * zy; if (zx2 * zy2 > 4.0) { float index = float(iter) / float(maxiter); - gl_FragColor = vec4(index, 0.1, 1.0 - index / 2.0, 0.8 - index * index); + color = vec4(index, 0.1, 1.0 - index / 2.0, 0.8 - index * index); return; } zy = zx * zy * 2.0 + c.y; zx = zx2 - zy2 + c.x; } - gl_FragColor = vec4((sin(z.y) + 1.0) / 4.0, - (sin(z.x) + 1.0) / 4.0, - (sin(c.x) + 1.0) / 4.0, - 1.0); + color = vec4((sin(z.y) + 1.0) / 4.0, + (sin(z.x) + 1.0) / 4.0, + (sin(c.x) + 1.0) / 4.0, + 1.0); } diff --git a/shaders/mandelwow.vert b/shaders/mandelwow.vert index 0e67eda..b7556a7 100644 --- a/shaders/mandelwow.vert +++ b/shaders/mandelwow.vert @@ -1,11 +1,12 @@ -#version 100 +#version 300 es +precision lowp float; uniform mat4 perspective; uniform mat4 view; uniform mat4 model; uniform vec2 z0; -attribute mediump vec3 position; -varying mediump vec2 c; -varying mediump vec2 z; +in vec3 position; +out vec2 c; +out vec2 z; void main() { mat4 modelview = view * model; diff --git a/shaders/text.frag b/shaders/text.frag index ab43bfe..3df3010 100644 --- a/shaders/text.frag +++ b/shaders/text.frag @@ -1,4 +1,4 @@ -#version 140 +#version 300 es uniform sampler2D tex; uniform vec4 bgcolor; uniform vec4 fgcolor; diff --git a/shaders/text.vert b/shaders/text.vert index e3544f7..90e0b9f 100644 --- a/shaders/text.vert +++ b/shaders/text.vert @@ -1,4 +1,4 @@ -#version 140 +#version 300 es uniform mat4 model; uniform mat4 perspview; -- 2.25.1

OAzLj)l` z1YhaGxafedxQJKmyLKaxwI{rS=qJMK2(NCsiu{ZcvLOI7v&dp_PUjnR20JXlVmq#V z8?cQhmY22&JBgDBwMj1!pBm#8>=l7caxNA+F75OfL_!je7hUrU7N>5}Bt5*mxO5|v zkOe{M9aX8DW=af>Yh!w8A2%}KPBvFM+O5Uv&IDrZj1fa&{8YDZrmNv{N}%HVmiFZ* zF&C#2;&o);G`7^w+DZpXZUuA+jIZp2(4<*8cvu-Twtf4(euvFmX;vP(^P20Ir~eaU zpqzm>2qYFmx^LT`9!%#kPN|RE^EG1NT#USYgpD-PBUqy$KGGvo52HIcd`oyLRvAW} zQ>A&Z8ZL97Gc26E`}&{8*d09U46~d@ltu{p5+VSY5S_kca-AjtdkOcY>&(M+G1G4K zT*6Z+FOa;saia2F$LW^>)8`XDWZBZLeiepr?A!|vFyRJ~hVVqu7{X!gtG-V-$96Bj z6Fbj!Vw!>S1ZV)jSAF5C8z$cW-HlgXe8uC+8h?~Yr3s93jcTam@b$MuMvpoE&Py(U zN-~IL`fR7wT8P%IcVk*+)^XVlmZNSfjdxylA@k^N*7+_T;IG~6GrK39u~X-Qa_|5< zQn6Rp4%FM{PoK5j*N&fto=GE9(%NZCe?qoG+1oevN>7x1Q$MTo{hm?#%YV#CWj0S< zLg=>~U*;R9@619qj{N{9%^pvLUFQgX;I?zqrrviP3qMLES+;e5u;t#j;(Y}>la0(Y zFwp&MYT*Tgznnj@tKA=J75-Ug4_6*oeb>&~>y-%hE&pm!rl7B%@l{pCUgqCR((0J( zmFw@sH%nfS_0aMgCMJK5H1*C83fWyL{2O*ATg#A!H*HJEiM*(OD; zX+4tcYmwFHLU;{|Z2NbzIS?33*j;*A2|r~0&+c7(MOo;{iQm3$^(QZ>{h7P?f*{=A z@16gjV)DsTZzLtUY5px2O=H`V$LD@Q^FlLZq>UE~XG!=U^~4piw<^?y83W(C@=8MR zxM92}jJ=1%(cJ4&A&b_}pUjG2muPo|dq{*6Q@^rf?k6}`qz89-p>S7dMuM>iC4f#a zbzYXHWXBb0!&pcTWef;fxP?knbEBHP$jniK%W9G>0Fj&4l7wy{rGwL0(Ho@CicRCx z@mvj0s)W?!ilbHXkO^O_ef26_v!utg0aT*pa zbT86rFO684>h~APNE%SKAI{>irO2-7#o4uhv)AL|vk7RFeJ3y{k(9~03s=biigu;F zj$*-0ps3|JVY@@U_05}YK8iJA0i0JU3_SQk;QX=Y4T6Uc$Nl>@|A{zJbmaYY(42yS zGy2UnV&GhigNMruYNU}KnnvS$q(`j2$?xEB?|?&2svKZWpXQ{>GoD@#p;)x{lY4gu z&%zjFKYd+;WG5AQ2k48S-_xf*6HzANar#BleEp7Jid}o>u+jwR>!)AB0RRuvZ@z1T zXaM1~oVEppETwj(BEqC=t4eCerQcw0`k9lBux$&4|bF$2^{MVLv@<_Qw5190%Vyu`#LL%ge<$FFQ}^;??`+gRdsp~R z`KArC=byLrzP;ld4U?@6|BhAnhUw@^ZT)XfU_T@J7S|L4U2%*bBvX;I+Hwy_;WgbG zXc7(LFVnz41KS$ZLTFzzQ6r#xZ1oLidw1LwKW207?fEm$MB31J1K+G`iV5yOE1Q>H zUs-S@$nz)(TGLa_ShrD5I`%eWUwQ6-7T1 zLB3)_)-nc+Z@MWa z3GG24`p|d0VrpcREN1auELkYw!n9v%O*eC0P%H&lcWyQ;ePV?}GmL3vZ_q^whj3ps z5|b+D9G%|V6D`f674&TYcr`ix`ffRB|NE4D%g-eKcj3s1#9s6EJdFZD7MA!U6C)Mqwk0WUN z= zx%852r+>l~1;-S3bbfeC^SrtGm+lTfObN&p_CepiI(B~h#~od~z5FKvKoy}U3K$d7 zQ+!=%_%~pOY0cB675{di(bXjiY`QlRpNU2dYp}UyWZ1c8BWfg6!Y{R6&@TTuHUdg> z?p-&mkC#mh{!qq*kc?0K;K^t9W`*ZkOPOIXTHDNI?ctOZ!b`@$2}aHv7_0^0Vx=y? z($jU$%n$(ELWXqao5MD;o7MO+v08>*u~FWPTp6`;40()AoGXt<>$~z8nSbasoAA$| zz;)TZfF9O*6|&lUJcF?lUYj5C{k2w|&1K7XFrh4Lcj^CYO#T+ekZfWtlk(gzFqYZy zomHWOmheLZv+Ax1ve0*(Ry(aGDpKCca(_!n=&jRlBX2He?zLYOwdadt3Mgk`$bOwt zM0~DDc)p0+!uLhmwRTdn@1?aZPlN*=<2v@0^$aU}>II7dTug~nJ>!g5LOLrTq`%#?6gdrg0#LPY+0~S0FMm(fWdTm+GNy15)38ibv~=(YOJHg37@I+J zYi0WulCd^M3KrDJ%UwcgZ|U$MuK3E7Z<}DD-tDno!&O5gjnkQ1?FP5Urop+74V9)h zBz6p6zV+w0)#SSR$ZxD2@w#p^2MF&k$)A-Ycij6!yyj}!hTKmlVlX06e#<@SSE)Zr z^gla&8fm2eYK;c>NRMRw*WJNS1Gl+yGC6bc@AkoFIjJaz@CY^?|uAF{P)R|W? z&~f^uSo6Qnq=W}d^Pm7Y&vH^xk)>Z6*7`}p2c?{Wng6}xx1R+7Gln-FdRLayamN9UDdrp)vp*6Pi@%-0H;a0EIZ8juO55tQ7ixP__DcZ@sP`QMGg=YT6u z7nH#bSjHpTbB}b?G0#+6YXD4(20DpNX@u)qd;hI#N`anIo$pFY{}jtrVjx>BB0_8g z>k_h=8wi^a!LF{UHr-n(jRq5wX|1|-4Q~$HXl;{nuwh_qL{Y#7Mh?LD{{*bHEbIDu zH?xn?f_~Ff_JB;l72eK9irt%wg@)lx#EDo>W`#{iWXCyH>pvlJX4%Zk%<&bQki%T3 zVz#YO>^!Sq{2a`W%^{O`kbu8jL=w<8Y{z(=*7GW*PYPX6k{MsEtb|`-FT0T6e%|5E zm{Bc92e4YtU+hNHff5xR zPfWjSa_;3IE+A(=)mdmTnAY`$TZ9nprUO^cig1@`kjyuUJyn?`$EXxzJ~oztwZ$_y zQ7nm|ETXdLCJbPB92jKa2{$njC3E1eTfm*Hjf3wxX1#+nxP-}TuVM{Xg2zd!aU;_h z$Cvbewu?@qRugmcF)HdMA}siv6nYoY>Bet!wFXQTY}RV4(Gb>CtZK6 zMrz!%-E2XS1|tBNI`}~n)V0rktF*Gjrf!Z^w{ImH08UKMHDO7%X+OJAB&f7Ut7rh5 zl7>s&Ja7m*O5$HB4 zAlK>X_e_-6V(Ax2eJ1pK8m*O9{-ZsQ{R4~#CB+}C%U`Bnde80`JmWXu)-lJ!>BID| zpG^QO4sIz z01Ul^kh7E&Sa7_GUsghoZQ5K&Rn%;!S{dEJm8AT-m$sBt3!AP=%(f$i_dj#t{h-2^ zCSD2e@#~xVC5@Lv?))vKKL&lNBIngmRDdNfbJZf?GfcFT`yX`4I~ zMG@c{!_G8_2b(SN+Gl;CP5bb(&n9*u-P~CHxm6Qv9s5;dVK0~bLgTfMAA4n>r?Hjs z6WJy<&jrg7W}xml>C8&-SvK>kF@`kQ92mLow@KlIC}Uu|i(UmFT!Xi~&~XkClgaKH z($~9Li=Lom!^jBi1bvBtGcnl2M!;ugLsWxqv4VAh-gN-Ry$7fh{1Q3wo1Ei9eb9y7i)YSq7uU8vOgR)}$>{kT=7 zCnn!bOs<1-Zz%;w#%q6oy)gfVw()AgT7SZ9sy~BKpMEFxU@R=WVRG`F<8!ZIb7ktc zw=TSx(?GJccw4u7Sw%#Nk@t!6Hqp6PlrEJ^40W50)b=XNB_pjCWU-PxORt3kMRQ0v zhuOvGqYqe;f;|=S*;Pg>z*k-=BmeJauP2xn;1m*%aS9aE4bn6Cq%Aj6Nb0#|QraTv zGExiKHhu~6BvYn#vlUh=wh2bAq+@m2WS50ug`<~cu~Y-d6eLY|akZCrxk$O#q2${3 z&16S?2DGtFU9 zhO#BAk1#pIMqdy8QWRmXHTtE%=yc!HdnTgTeZ@avlDg*<089eHQwapENHyl*o7Icu zk9_oFpL)se_l1)Avi78kwdorJ0J|1$O+~q$a$s7z!oWL+U5kM( zIwI(*SwIw&EhO8I7CuB`1n3fGvJG38wMj{s+bA#1HO1_GyhzsK$MbSq`v)QOi%WmI z>RnfhAD7COmU#IREhD%qdng((@GTA)x8>f&W+q!~-sVhpb6vwW8s4-vM?sir%@0h; zj_`Z->wgEbDTj2L&0!mzZnF}fmjf_%E)Zp~X-R3_W*LIJF9u9Y$S%TsFYHRBtE&y~ zb~cHq^{+^sIXVqGW1GVvWE2?LPZ^Ty%CqO96DWjQh9Ut)n=w}gzz#hxj6KEJ?UnDY zl)tzVUR~`y>2UK|m8oCR%^zf!sQxuUru!W%T3UTEA?n0ap?0dA)+|jp{ma+Pz5S_T zg%eOpt+TykYQCRysvg$s=Lyl|0vJ0w%;!lCP*9G8oB&zgDBU*u`EeTyl&3T z^)z5hsx_q|Em=>mO~xh64+{{~Y;g52E^FABE=fDR(!BkeaN33!nX6OCKK^o!fh8wd z5OSN6MWt_5(&^~MNObz5w?A|6cC%z-hn`M&qD~6HCkiA!k%8|gI$>Q4_@_^=M>GH< zI~;~OWTcTEF&Yi)hnXO;N7WNJ-zYucO`MJ;JVTv^TR@J}?9G4U1#|@b1nF#mRK4j8}c( zDniirvQqtEuLb>1dBJSq6My}0|M=6-d>?j8$AN@mnFr$BGmtIbB~Phcmt5Sn?^hIo zk+V1465ESPv~=o{(9V)A8I&A%+%LsC9=rHlhB9+zuDX2IPKfOrdHBX#3gEQ;9$EmXW<@VBltn=Atx zr3ln^3ndm<_#4+Ip`bC4umu$oE`od!vY{IL# zk2l+N+wLJ=v4=&6l#?Cy0FB@9Z!INTL1IpHj={r)LwYbR0L*44Sc>tL=Iy~I#sU~G zbdv2fmSz+otT?y&o#E845{VXDiXO@3)M)t=a@qDIV4)QZdGe$A{b!r9h+s@Mij4 z!uH}zU2Q*Y-WJO+<}5JOxUA?sDMBH7EH*{DM|P@UIYN;!;@}czqDll8GGU{AcOVLV z(Z=!1L3BkY5y{|dlj5wGI3t9NL;EX>QWOl_YVo<)H@&WNF^w+jJ0sJ8ep7tfBF(;< z*s+jbE628e4r7Ccd3czCPx{Tk*M0G7!e#DS^j@YL zcKiY1{j|O-*XbDekxswJ4zc@p$VekSGBg_2{~dZzaCM^gZT@S*`{`ph5{z`_;wJvg z%lBgB%Gm&ZAbbeQv!6;QE%x+T&O{rEeZT@Yq#x+SjHHBnF+krF_ihl02{-7Zx$>0z zqE8Z{GeO@xORkfaIS?s_(HA`+{gQ<+*8?UyPQR#RAlTDnIYUwMzToeeIOg>G&Q+9ntM|P3eClUk@QmLacipD8UxgG%=iDb;MpFJy z%zy2cisLWGJ?qQ04|&>|a+aHmi!ElZb^~(WdD(?_+HPfE_+$3WEF1#?C>WvLGOM@W zQwypvb#=#Pm4=Cmq9aFAZSXU*m&Ev-1Eo9(4@ln)93h(FxtsN3tA0ndwd?Acw~>Y# zfL>lO_(PlePwP30_<=jlQ8sb^GAZ|r@0!3*r&s)&JYDuoao+ztdvoQ0lxeMyOfmyt z+V5jq11cl5&s1;yQv1g)s=tcOeAl{$ZBb6ngK3%lgk8^&-lc+UozC?5vH zSl3$ox{hxSz&;ngUqaV}U?JeqKK=+aI-V=j*Rv^t)(-{2^_+hkvutLxX&VgNXAg?6 z2~4FW7zh9+WD^V?M&D|De#5(i&0Nk}dRyaAC&+4au~vB00l7gWvdNHRi5e#-qs7K> zq6stM3da3Q-&$o~5X}MsRp68b6n&w?Mrc`RT{b%!sv6nIJ62v^!QYt)5_bDDCDrz{ z{ah5-?=nEo$OPQ3YT@gZpMU9TiO zolXJ3dA;aVRdbIf%(ZXZhX}3y{eJ%g9!a7@23?VV-3!KWCp@4A*&mwnx*kFydBzj< z5Q;ic!-a#sa4*IKmTVolr=16!MEwrIgGJw5``phFo=)o@dG&zk`+(X|`!N0P*55_= zkP9C!+0rjs2jF4toA|42ig`cnx#+hDPbEs;SNfnWt@A>0f=+BO=?MpdehG7d!OiMN z&gy*MN}BxRRlo2vPy5Z~BxNS+2=Rm`Juzb5&&-=FB&F8@3#Rcx9J%h6KC^iy%#f;d zeEWq)s-yAmN#?0O-edb*bQ((c(#tPpa(wpu&X(%Pc`CDLc2JscklHcWBU zPfS3F#|(A?WeGh~2i26W%XHgH;h*wk>l(I^tVd5z)G?R}-z-jGH`%_t@yUFfC9mDS zQdd?HM!vbOVH?qVu-uw*`lSjRaw?1$q+3?~YuO|ve?uDH9JbNvH_`DJP9H%z*_FTl znaMFX24I+>0p|exc{kE~xdBk+_==&d8~k2jMIpyEd_?rv@ZM_w3EEkJfw6M|y@ZHC zO7F2r{n*50vUzC?YXKOT{MjXgbX>@|<=?_)vG?RV`j>33{XTY{U|1RwTo(Wgi#o#W zsQ=#8b8o+5`b~Q7wLXU{OhF_r16s%x;ZLc)(wdasdfT!O!HS?b205Dq*^A1+6>3!s zqpaWTZS}~+M|LcJXO>vrC_(xnj_FdH%N!MztuzEb zt#E==IfmhyIG*a6u!yskG{85zslL;rkY3t#D#h+9o>;9->W|ggxh~4Ioj{((+^i@r z(_(O!9fTlmM|7Rmlzk5{N1ur!QCvo}T!Of+45m!H%)vqNWFbCROm}I#@Ge;s`}{NY zSVdz;foFI1tn!v!_5-n0luGU&oKt$kKAxTH2#3Bd0GM!CIS=1PPi)XyB^z~6vx6pZgRc%I|llxl58@-^`zgA?==h zp~!R+AMeSu`{<7l<~mJ9r_$bg_Yj_3Cu87YdK?x9LbyTuc7Bx5>LdFI&P0??cv9`# z^$9}pA=|g7Nj4De+|Vjw2nWjde)jr313&PF1Ow$MPqp`?+I!Wn@^2kupxwzc2_IA; zCu-1=fkBunj~UiJdGb%XdSEfZ_y%dWxsotf-rBvNeVYgh7~gR1PM(o_fCu!O_X!5! zNtGuU*1p1j5^mJ+r(WsrI!%G-#4=B#9DsNC{}175iXD`Bnly-H0Pybii?B}=dH3G& zJU(P-WUktT*^e}( z%wYQIk^$m1;-&*1ST&83DzO=xI1oW)`Dxo8cPX2QVTIG@Yxn*}Q5I{mQc-oqG*`FJ z+V%sE^{g^?mw|ThCl^5m>qt~R8ByiJ9e0!6k#g+ZU9=&WvhNlaI<5y!!%O{zUSTph zHs_ypmbVORw@i5bgitjIwel1NVnKAI1%JHu3 zp=(=IuJvLeUS{RCZQw3hC?7i)t>P@RN8k#!J;uH%8e-poCauV0g>#9IK5<}_15F6y7v5npvGa4 zW(}out>9HWsCKEya4v))S*kfDF;%+O&D(ceJi7Mlj#-N0a^?a|6?K`;%8Er)#=Nas z7bhktfeo+wrkuG!Gra0gb^~U`@o9 zl9k&_QiZqIc7;8OFfas;0h2q@O@nC}ul6c;rzQzjwnS+kwB{6&00E-=J1ZEuqAcTy znzzq)Titiohi%`TLMH>@Q>I?X4t@8XKg_Ka;~|JUHsQk*i5aFN03PWPrqO^tod$6G zpp@U{a%~{qjj~>i2hg5#ev|O@qQ-r@{zdfdO@GhX?;%7)5*~N+o=m$BeK+B-PIYt7 zOB;w}dk?-voS@wYzJu@qMRvjs+I#pNgnPEWk?{1|(3pV+#coJ2h#xqCPt@KozngGa zImG4~lyG|G^`2qkGZ6*auNV3TX}7pv!}50S{la?*bM1NBs|bfh0|+0K)`|svbDaW! z_dMmbgoE<)T&L2B$@YH!%}hI?-;+b^*Qtzw|10Ph0l;Ti>}itaX$Am%nv^I{e5y1m zdk*lf>K}?iKNS9=XwLuJvA4eRvu^h9p`@iRMHd?CtMEw<|-Jubi@AhF20b6JQClIrxo+}f|i{_jZUa^~cm!c+xfxfX$ z9jT@+*yU3r#p6|^oK(36a(3dhOdEyn1x{EjSK7J^HhgvB)lX=?0H;7$zoZ)NNQ~Ea zk9=k9m#K&b`ld>VjXYBt>p!*a-{2U(l zfTkGA1axL2(z~u}O#qS%$QhW*|x<90&luX8tX^L~mm1-8as^=`zu*i8v85wC`~>t0HLQ z#+-WZ%=}N27&ZoUZHX|P?FwrGsQ5ia;XtQ*&Y0=v-{RX5ULX=+7}6spBC(`4va&E_ zzeHNPs_)pt6o)4Md1~PYe4NiFjlgD;G(_Nuq#$|?Sq<$6UxD9#UpN)faj8PY^n!~w zn!{5#=P4W00&RC?1vAP3*w#sHEIrtxMzSz5ZgfGY4h+mGBt5(M&9kGWLx;-QM_%R1 z2V3r~wU;f9@dJkyXl`vnn-u}Sy;P5%y&e2!b1;@LSm$aSJf{5#5id%HIX9W4lj^uR zaR&)X3+DpBH?J@}1id*6h=lng!uyO_- z7CcNi(5ddR8@@8mJk?&5Cpf8wbDhY*r^z_1;X*bjFX1!^PO80M_;tbu zR<@dsHsI^mBbvJRGjGS(^DS>8JgM@7da$x3573wLpZR9O2P>+k9E_7{@8^fgEO-Q` z((dlJ6P{G3EC0*?&!Y7S^h>JgU#-dJNGx!sN}c_t|?*2l^DHR z``UQp@zdv@omUNA7md`>nD4AW&J@DL94c_q(1Sh57n#^Cb&FRyy5Q*W+S+f@48YPi zwalOxa(QFz|Jy45izf=ct}c7m5SfVv+5{K?Fx;Dcsjo$~oZ?(lBJ^~%<=%1E%CDtD zRYZSt{q;mr1=DnlbU-+Z(~Rh!%J-Wa>$M}hiKv=zu$eW(+If8TWUt*E z2m-WW#=xGgH@*FALKFl!maL1IVV!;xZHQUh%t}VG8ePh`X!y6%02q}RV%NpqOVVuB z02@rrSQJhS8ve~hWjdao5{5U?pulQ;4h~z;3J5@{)|J~vhaNEH4=A4bkEA1y>yY&6)xb-5cMtkMtFH68EX4aL=|+$ zfz+jm`tuphniL1K{Uj#UxrFwujqnGtELiQeQ zem>Q#r-(1<>)AZLjx(@y3DAx^zKw8$P6fal1P>E}#3wTF27$!+4SDa}s|g=i|L`?} zhl@rGJkldckIbQ*BW`{h%9T&`_Km%1_ww5@hIgGxdvE(u!qaQ_!pqK_c=w$5e!^UN zdp2m_ravP@d(Qn`LbM^-$%%JJyN~=J;fA9d6tW?Hpg{tZL+`ML0r*rUpy5J>weS2- z=C0{s=kRID*&vD?PRu4D8bl6aPShaH2IUNVnv4UTs)Sr;O0W-Ad!F`c!ZT^-87e;< z(DyXliym5yYlW}V?{4Qggu}`cJXmdL%s%n>>N$?<9N(I!#L~{i32Gc*xq__-?|1 z^6K_p{py^42O@sM(IFxUhqWQizKOpewCcssFRv$Nd9b=F^8i1#`P10B{`sn3c-O1; z+2@~eu^EY1lpeX|t2YEfp7;1m@vM=i&rEt9fZ5Ar_Q2O}>SrD-IC<41zH|#hjClp7 zyi=E)!z|Wg_Db(+r=zsPzaJdD!W_QkjzriGWpynAFiVzE_H1O?@wz(M`k3?Qj()uA zR6XI=y^Gq(UF<3-AJ{92F)34D*1gIOHw5Ff8Vd&wXQXr5(w?4e9ioXhY2L&GiN!m*q^xfJN3^HgUE44DBAl$UU(qJo#+X z43w8JB)gXatKFvwX9Zhm2F^T#2JU4G^d)3{u;m@#H9t~b^MkEN7YSAk04nI$gbnXD zB6AHh?GOX!+3%;}l$mUrPtCuP*d?K!KlNr}-1%2Hs^8W{9KY-(=HB_&6+{YY9u?sZ z(LGl*d%_))mLzkvj9(H7XzEHh(Y9CFf;krOf-PFiw#grgra%CjyvAvWq>4j05zZZ= za;aEIq?@RurAwsYIx5=t11sep>W2qKTCMc9gqkjuv2h~d;ENXhcI>q1ku>`Rtki&^ z8tCq8YN4xX3H89Ywp$p|UT1=a#9UkSsxjTlEGtc9VK3=pOU~9#X!5$k)osc?!9f|B z0Ql_D5zJ`vP@XU!JP8fR$|)@Fj3%-{!p%gKl{^=3b}E+HT$C4u`cs_ltRj2TmHnou z#1-LZ^%vMYmB#OV8sYH=q0jpS;RX$OxM_6$PLR_m2VjH?nlRVCbN_~Lqm(_mGu6Y2 zei5f~1i&LbV)V^8l)=~2OzDQFgQrgh?qZy%Js1Bc;Rf0C_jm9z!#XMS4(H7y_ujkb z0Ux3s&Na}6G#j)b%ZZ8e1SiIyiSoRky?zf2jPcNv7lQW5FA|98npM$AKTdt0pKTH`6M=DX}?V7b-(_M_rCgb($0qMr%Rda z7vXm++V28205CTWSQj0>{w6{zCeAi6OE`h0JLLwEa-6Rx<|5l^!5_zE|jSPVV` z=n~`Wv95El8eiV*-a`re7De9T%{j9e1wbUBfmS-aKwgK~s-!Vh_>a za6Gb`bPHh)y$J3lWEXx>4mSIgsk_*;QT$}e&eE{f;xi4~xi-YyZ!>G{2GL;K7c!J?FtI_%P@n$G2C)?=UR`JusqwGlewPMkRkk@Mb z7z(hZrnN>0!`K9w2l%)kI>Hl0O9+v&?=DXByt!!M*XG~+*r~Uh`JX426`RBa&eVIq zy7KZT)PLJ)J?~gLeP6UC6z0idwIUelR<8ZNAE40^5{T>JizTn>yJ=RDMPGztmh~EV z4<03kaYbUG9WJBL?l!U|ycOYZ5uHznsVl`&CAT&2Oe(y?7b%BGOcL{41)e>jP)X zqJqQWMzZQS^?6Dt3&!CEEUY@Pbk>ACRZ-sfV zX!1a~mh`IOTum&YvH4GO{!7ctp4naxN)OH)rb#!hy8UMCqB?{P%wIn;B=oQ=te3^& z?mchDPtZ{qb2_5?YMh21R;PEF$xXk3?UShwzaINV&IJv8f&XiG<*#GpF1DTG;D@o3 zH+~%36LUZ6rg+;i>%b|BxBczS2~3X>#f`sxz4R_@I+6PApTq=rYTr*{ z+iBOpiH31H)|}cuiJiP;3Y#Ea-&8hqi-Xye2zJ9%HWc&!%AFV|!Q`#KgiT-?#(Ob~ zz&QQT-n<`7t5+N|>~kc2i_Yh<=Bu6!-K1|f;$54ec4X@F%V}3FeW6h% zt3R;1{O!=AQon|Lh)H2$`rE0G(+^WmeD}osI}`P%Ui=R1Di|+YU%B}1VcRVSe%7+D z)*s@1&-?HCt)KmZqvodKrAMCfH$GGmwSp{cvbP`gUqW)s1oL_6u|6{^0vcq-ks-h($Ca zeOal-vhb@{>xnpyzj@AE*6LAmqzG08T1XTj5lI#~?>sbV_zVWCg<=9#VW|A-x$ONo z8WoA5il#X*e$)<54Ax2OJQx}pSl66$_u^<&5;HJT={;5cP~|2Ox^vlw3Z>U9NLg!By8I+`SPis8Wwdfya!zW4I{s~=hV-YgmvS_U(KHSVL!XiAN*%3 zWlp`bvhnvfpL#ojRy_yQ1#e}9Kkt;Y^;}NW=mSa21_n`4>%DbGj`XFiuxcV;N9?mA zo3|F93^re7pV;qj+%Wd1Gc(_i^E1A)FcMh9-T}3*)$LNP<uf&rH){ot{~b-ME1(MR}U}<0nm=AAj&Pj<4P( zO+o^i*-aRb(h79V>gX|~FAf+1j1$+uT#)*iBIN0dtK)}wRm*5#>hMAmcYy5dR*~IO zE1$HyF)OI%wq)1}yb_@XEQ6DK_bqMSe_#0YhP}5FHyF{IAg+PiF{=3B6`ww&zU82W zj(XVe;w!j+hGV#1(Hl6Q_O%Ylz<194C5{b59sRar2+xL`+`sd)Rh5ir$GM z-(`-%M*_FC+DCNcOv znR?(iMQI^L`oh$MzoA}mUkh3QC*JeialliLd|(v^Tv0AzU_!i!%!xDGCp5&iv%K)D ziL2jula9fTBx*(ic=FZ{VOIpHOpWs08(jsIp#^kuGxAliotOSU7vTE9@n|f>Il_U6ktS zT4}_|9vJ!b5_8H>TsiZmZP#C0l0K91Oepp|{&YPE2Q22~Vm?FwFhQ5Dlvzvt2~d~$ zyO2xF%vo`jBG3A+z`86GIYND+SPG;bm7TFmcMQ~7FRCIDTs3cIo}amY?8lfu>j33HymVaYk*h6OwHX57cNmcHtYzq3^M1Gbu0hLP&sGu{_) zG|O>KqZoChC-=&U5iiDlP(|Gqlphhj3tG!v1WZE)yJ5}V>JkZkcTEwJR%;6`=E-kRIuzb7*jN3Yoa2p#3ReTZVtoGBUvp8eUZcSJV!V84hAj)&X8y6*-p^e!DHOUnl=x>)(dXFAM zh9|7Rs#Syh%-G*8oc)%<=wH=m-ZEq@NnZDnbyvL*5csuC*}$?KzedIF9?F!Cmf5Vt_S~V8o(&;n&F34;iGsljR z@t;eEO}ylU3;45#kKu5HdCqDlFc&Zdd>p7Z9udrle+8?zShN!g3dc|*HwT%2jr78^ z+Bu4I9&io zJbB;4GeINUlUIHMyNr6>pacDA;5bGPb3eyMbi5m<@I|7BIfI->oC{pwOPYQ!Vk39g zi{khnib~`6SwBq7t5wq!x!dtw&4$DsC!#p|^Vm3(*Zmu|YyDKk8xfbj?WElA68$Db zZ^Xoj?@CFMLOg#YdMA!GzOca%uVaXBO~J*JFjb&wO=ld1cD9lJ{Un*pp9r-nI)XaUUHZ~G}|KZa9}zgMgjogYxY z*mg|6?S1uRE$TOgsVBZ$kn_fmnSu3BvKG8GPG&QeQ!S!w%hW|d4&i^10Use@1Nm)(559jZ4-+rmEd>~pR_CtLi_ zNIT~^8Ot8oxeYNvV|kWE(#li0Yi7&TNTj5)ri&JXE@qNBw?gSgzoN8Lq45!BptogylL0Er3-pCU;d4 zVi8cm_DF;kTF=kCW1&8F%f=5-gn`rFQwlR%#1bRq#UQQ%UeeKnprPC^3S*+2RUcth zF_wa{7P(a&t{Fv$v<R%-CNU)rVHh&waTiYij9O`s&M~Wc5_# z(yd|+EnOAXiSd7=+W6^j$cTy+Rs-o}WW6jhp339P{CwTPZSps@6^8ILPe1hY-M{mu{m3 zB*B6@1nd;Mtyws5)U&cdP~&9)iX6YOZI{%o8i!giW~)|XnOXJH7{jr~U1S-=FgDV| zh~a)TuudlKX@1bx@Z`0h7C{ZCBM9J$=iZEyfE(=8^e^J{q+|1TNQmvmD`YvpoiKXwvrjWA0n}X539Z9(jE8cb{&i*Ra&{6T4w>L*! zqznV7Rvd4c^-f;>XV?fTU=6ENykscu_!CjC3mvx_Or4Yp z?U*JV>6s_qw&>o2H5dik&ONa|3)~IUX*~1VA?KPj?TYB**MB+__19oiD9V2lnE{+N zGEsgVwj)L7A4E2bbFL_t64IA5&S}EwM#lo6QzUmhcz^GrLhk@;%Xv~(@ zy)``T7yTvC3K2C;}KqI`99)JAU^U?^E9h9fmcjKGBHubwk#TWm!#OX`q^v z$*2if#*yKkho4ryWZus5PFN1Yl3rt>GV8rp-hQ*kTv>)$^_Pv*RNdxMRoN6cPAJj0 z>MsVfXe7khXI~_)1+Z1{GGw%*@N6f`{NA=_a+eQCIbNTB?xMfVgn3Kp2|MF&J(m5% z=F&HX_I@VcG~cxN>NB~gr@g1qkaUs>3Raj|a1LaGkwxbPDro2}qMHx#;qZ9+zqOO-ZSWECOqZNNp2Tu=d;hxG$(`F_OiYa0PA(>XgNZZ-vgqW)fo~ zRM8C2(3FdDRGkrO>Dk=DITj#%Gi1 zIxz-aVL7vb`uETL;FwjuZ1|5T!q}O&3P10u53GhP9I{l&l}H>RSL1XEQ4-dG@XNy5 zD0u3yjRC81qDx(BAwPxX3#%-gv%)(lv$x69i=lVWvNw+WovI%?<+ESskAGx*=If}u zGnbRtB4`Wrk^TgaUnDs3ze>>ZuoMlThaIV?g+q z2Tt!jh~046b}XEFX}=%^PjsI3lCxt;{&5^apGci{?4gwI#WxqMvlXX8r~D@fbtz7? zY2Y|U4?l9*r?ER;cr6wVUQ@&-(4Pce!f8R;KQQvM_q7V+i1-&`MMO8)IQ>ZCKJ_pZ zulqmP7XIRMnDa?^K>%OiOCQ;f`fXRd?Zh?k>f|n@DBg(TkeW(P?*22Zq1zO&AH{1h zy0_z<%MkA(rJ7;t`ESE^Wa9LXq%^0Ol&x{5_Iw`}Ca?SmwjW52jnije5N~T*#Unj2 zP1@-vNw>D$=qG2KZW`G1yP|BSiNQ21diU~DHBJXm1?$S>oj-w%(-?#Jq;Q6Cdg|D2 z#P=(rksRq8(bT|`w@qSKgvn+)GJb@TzJ@gm;K`fbD>TV2vVS>w+i&5-=^$lS$9fB3 zGx*}*?@!FX6KAEEgAC^SbNL_2nhX)ZUvu5>nh(XXcvY}$9K`KTci>k(^*z5n`Gp}S zyh44vl4T$MF`XX@>?+GgtXVM~5+MTE7h>-tPv)iEdCLum+h-npGGhnKShK?3;%1*K zEs&F%*xh&BO4roM>5r}wp}**L+uLI$_oe zv*>fjA3T$JV8i_PoF4k^vC@B<&i!v{YIK_haUbHv7GKTt8>l!V#~MFIqiC~eDeE{f z8k@8xZ1{ix#-iIR)-(>A3iZpH(ifI%YC04-Wmrw*Fg%X@a#7Q@*vw#Tgeu0>uZhI= zOBG`ziXdB?a|GQ0;j>JKCj3O8TBAtwfP;$Y9gKsrqVh6yO$4pW0y0I=T3103YqsKW zsbHHh=EjL89?ZQZXDvEb$yvN^LAWEb?1pR(Z5cisj%7t~wU|XXTJCALHiCYP?=7Pw zFG*}+&4yl1R*!{)$E7zO*5{>txtQ^t`9-di;ZO6L4p$cgo^y^4oq210s zn!5UWJK>Z!vLMXv^8-pGb3YqCN?n$DYmHaW=w0oQim5wBuyObfoOX-=RuWos`sG8| zK&#x*%P-;T;+8@`dNtV8;bd{p`3u@h~8)}*o~=YUXPtPn><7j zCPRwiO)UAgV-8Vq>^CN_`D>gM5WQxB*L2zwley2z9WfbJL~-~Zxi8`*nB4t-tRW@s zDn>i?*ssvz#L|Dj?}zp-ey!~bu@WOJx}U?YKbOXS7W36rh*{~t`w7GS^Yvx08cEu5xeT-5%hxk#Yz8r!fT>j?nnJH5^QnPA7T5V zRAU9$v|177pmF7~!${wu&{|X?HY`3n`G#xW|KNQOvelE8r-Y~CBG=n_(+xlL!Mi{7 zQ};Sdf~6P?SYbu7$b4YUymVluUS`%iSFf?ayAakhT42yzzXduhiRzHZcv2ie2>Yrb zQ3NxKz`Jk1rOuL;nnX2j8LEX4UpVvu#K2ZLo)NAi+)`M|3nfl+7AJ{_V+(sg<{jw_ zSotAqmuHRd-}uMlr+<7)aJVWr%#D8L;eaqR#AM9=Hz9#NNRE!*0_E9OyEF<;AKBBP+ z^=o{yaA*98=mWq8BY@ptsB9lk)J!eLeF_XL0b?`A-q2D%UPPZ@)#EbDKB^2Gk2D4F zazxXi*lcUi5w-einuCh8l8jIv8_~K)6iiXILd{~E=!jYY=9Ps^**=3qFz1hRzMA8w zf>W0j9O_i95{_vSfp+!hzQ!KX|JJNj4R)DM=0T@hAA*qcQ8BK7tNR)ZNR zmpfux_f1=dCwbQ7Gop@QKE2^z#F?*kWc`q|FBNIJdNz7vq7OATEiosWLxfg|1U&uYVdHQQvMfUswY1Mhw0X6hv$bhShC0ly? z-O+8{5{uKmWFv%&r>A*{t`ef?U+x4;g;Nx(K^co4);6p(1+XK%de~6TFgASq*?mWF z8fd**-*^IR#)**jb&?40_uzA+47b%K20RlL#coDSN zKIwMPGK#mIga$5d`>7ZX}Lmg1GeUC)R*fPnIzu4pUOp}ehtX0UQC?*25kQnH~*=KO%2x(^VSb`0o#+} z#Vxll!_9KXR=Ig8EN!=#eTqYihu+W>z^nk<{A$p!6ny=wZnlJY_pjdZJ3p_chK^uO ziN&bU3&p{cp9)wCVjU(tu^5(5i8F&DPcbVp*%M#JYB3Y#*-iHlIW1)QsIJ1-UcfK(6r5N3xHxnu>avxA&;ucgrZ>6NS<8Ye`sgpRZV_tBvn} zR+}`DV~7{K`4r*;DZVN03nVVG)_k>9B)5Rk+U%K*8(j5VC@o)Pavx-x-K~ zBAK3Yp?FygZWoK{Py3F1+M0Wvr4E9%6lRYFL%W9miJBr%*MATU+V+XiTH?ut1~#ga zeC5U0Zm>@Xt14x+uyAc%Jr~L%tlJr0P*BVip27|#=Lt5jMJ)n%n^> z#zEF1c0J+pw51pl(3m3df>%`puJEYosBhBu%VNGFm)Ha(%!{GViHGr1xO4dX{(SC3 zI6I!X1DoLHyKcv_8&KsZMo&F_n(;T8c>48NL(gA85sBM)@rKV}VdAOR_n#zAJmERj z!xuF01-_(NnE*!aV&l)QmD2uvo_g}zu>FJX#jce0ehpK5U#~xm)0xTZ)SYN8`x~dY z^}jF6=3b(=eZZ?5Mth!)bW7f?xvAf6YYJ=Bx~uLtoplkwDYCX3{p^U@<$hR4>D#T- zNcuv$`t4@`U3-a1Uoh&oCp~*ibXSb4UwSEjD|YR~hcWf|_hLnUl~V-sj*pIX)U+#Q zTr1D*avUXmBs?J;Ycw1@E9MV{(*wfG3ik?Y`!=~rt;2}g$Nu~;-~P65WmT5n8d>QT zXv-1}^HoH$$Rbcn>WdBiN?2iUGfKi)^J3l>PC2ZUMdfgKnwnKnACx&}!$trPh%8!{ zI3R$rrijgn?B)}%%UaTwcIo2Bvd3<|Z1*)}wnLE#ZB~j9z)onfm^PrlHP@e+@q-{^ zTbAWG(hajCZmoupM{HDOX7AX?uAY12{TqHAsl)iGZ?Hwi4fCU=|5(aAl#u{xhq+O?b;g7I}$(ufcO)&Mscd2Po->gpVLdt!i z+cGcosd!EDp4A{)=7pZ3cP*G9^-p5({WLdC`l$&ks+^8V1?!S+>e2V}5;{(T@PU7o zcYGx?kX7i|2u2uH~JY*|LV7Arzzd_seXHQ zkwQcLf)>E-YIb$W%}%Xa08d`}zbrMIR6L+3b9df-$J1YUs1$}TZ2P?}a`bq3mLTdE zdy(9*OJ1B2xg+8@V)f7e?C-zkZEw0rZe)!WEFlMwpCTNP138hYoVt{7*;nsTMxdS; z|6Ey5c_RV*)jNNkB6*n^JE3%0sB5C$%cy=EDLlRP@FP!Ma?1@_A@)4>v}f6l6nV?u zdfk<7XxX$4RW&QLGQPzE>4EyjOWmV3jYW9Idthtnt~36~kSyEvnj^$Q?qxT0Lx22{ zv0pLEvylvTL$WTm~a z2&`#rB8WId+u$3hi~yFQQ)Y=z(Wu!ZUX1(DQq&TK|8dfa^oup<@w9A(cm?1vYGoLq z>g$FPDgx{vR?~TbM~C=57h0Y^5Fd@zr{cLs_j_F-H!vqrCX#`LazpLNgY@v%bU+5Er2~| zb}9GFU}cv#cQxv|Vr56HMT^Dhu;3N$C85a-*`*tVMIPD{A&D$apUsR7S9TM9SqleMJ*odSaw#**)VB*Oy7fqr?;1oLQVMzJ4Vt#idSfd`k zAb>CMrAuF5g4Gf8T#75+cF@4^}_ zzH2kic2Y*ZQsP~#I&C~jJEVfAq(uqdf zlYX-GgXuAnzH7k@Y3i}}^VI?#Ib1IrT|{vL=cNG zZ`#G zEeVmDL}Iem&{Bt@uBK?#OjLkmBx-`hZ7#+x?`8yBv8~XZ&3VUbz6Cm&oXBAH(z-pz zda~Jl_8Lda-3BC~T0C<0^t(2QT3*z1D#&X#b2We1lRkQQDRk=U%@N*MX1`b2#DI^B zf=BZ4KiE3+HB_#L&LdXg+Wb8TT2cvy7Zm0)Pvf$u!Q2~1GJ8qG7?k?OY7nK=XXzE+ zS-30foMK1|**%5o_PLomMn>*uVODL62nK|;7|aaU)gLTlko-2qlBceEA&Ja68_tm5 zTe?YQW(!J>y<`O$4@f7~^L^&P5lS{b5$+OX5@N%p+i9Xajk$mfoEuhvM=rlaXkzMD zwP51KZ1rDHZS8@M03H#B*!gD4bncy)& zN~b1yJ1dpM7c}q%zC>Ap0Or|J9Q(MaG@j4>Vl8#lv}+`;coQIiBgGqib~oiN&?iRm z8q8k|PKU#VT?-w@yq2`Zu|LJOGkMMLV&j-zIu0O#sR{j5?uRHL6tn$aoU6u2U&B3Z z0ywc#@v*HJi7pa!?6H_Hs+ZtPOGf&(XGoK>t7Y<*No<0tCx1naWPQ;ZI7R)o?{7O( z&;49%_SHtIj4|}1emkCpbc%)lfrZJN8qT_4MuGsIm{F%A{ZN?7kW$U!M+jDc&o&o5 zUjLd`bBia={~XIiA*zN6zO2ZwtOf9ozTv}EyX@8*_dfDOEffXY9&tSMDvWvg)78bA zx+IvGhhy$Q_L?1KJvAyzrGdfar^#~x>igVtG>&6`>kd zqa1W~U8<*>DYl~2FAsEzD8h-;DTtU<^+9iHNl1Mx=uL)}3Yz5%1|!L0bZo+DZ-B%_ zPZHGsaStBhlsSIS{d^7&7sPyE-b7$n`U?h|g*$kK8w=x3ezl2X$Lo1D9?xbj-0*R5nB*X;-7N?I^h~cqIchD)pI{QIygVq)PP*mWmG@fs#(eX|B*wq$ zY*8MQAu4vmREse62^uHP)H6RTc-1wP$s0a^T`6>jZD;KoI4*H}lF-2IM;a;HSR#NB`p=;6v7O zs!XMAm)2$qbo~w2FImCMPdtlX3jOUjUr#E0_0z=WM^o0l*YR{;QCx{pAr3wAR8~sY zvZ>=*vNHD6rkUF|U$aXu8A(^IH;PPPxq)X1ro!rZR6BXr$}eWZ?DWRxw@%+^%dMGk z_6u9oGy3wmAAM=)zta#Oftn9dAYw!5bu+nprP9vo?aE%TVZnY0wT2Qy7g5m(3vL{zmkgUM*;h`CC*4IIb&3J^W-&CijRijChMv-dR2$UGMNuy2-718>$ zff=ywMQzeV4qG`Xig+))@S#8byIifwa%8A-LtxFQ@5EBi0|rkQ>SXETrmE4e_6;(- zbO#T%H76HtwNRD9@r8O0_^bere>8jQd$XcmR^Mb!LWasygY{0UJn-!7;!XDWN9^hE z$>d&qV(IoP#(!g|ay>6SS%DXXxr4PUHVpj@^)<3kA2-7Qb?Ix6jaWl%;k8*=$-2j6 zV{9zYas=p+#ab&2yt(WP+2e2YHas7k*sQ+o*|HY4KR`WHE_Q70_2jDLp7ct)7fRE0 znRCK3OM;8guPc>HE{oR@^wB3Febj4ea!}{+ded7R+o}610+o=i8;o6ki5Uc-e#wAy zV(~t#FM^8)?#9um18W2@Di_YYbO6iCarK~POWP0qdQjhT)#YqeDKu3Xo+YKmECRQ~ zvT(Ex+>R9DiTfVLfffyXle+Py{IZS<;K{;IU=7@{i#L4%r=4^?+$So3Pd%*epvz1a zeiqvgB**r%AbddsU*JoTqyT;e6>s8RF!j_oVN*cyViT=aP2;H^`&#LKM6YReo=M+j zGouK3E&m!*wtYUn)yO3oQ`xGa548;asKK4i+sJ9Sb7~6ii7`* zJr_*O|3_@Z`peypl*$S9YtDXxsXgz)u7;)RO4ToP97BYuiTcGNfYU33sSiDCK5*{? zPj2|_-Dlp7j{tVn>{cUwvpmUD(JxJM^m7X`=~Q1ZEy@2RZD#vxkUA_q|NK822eedjoOBtEwS! zn{t;U#`2>R$)}i4E2Yy=zld;_DCgYgC~8!a80ke=j-Y(7F&e!<)Gt5Kj4SQRgI2Ae zwwj0`(x@g#E3H3?oBG6~eK#P{8k?G^oM1A5PSU8O^EWEQXhh=bmmnIO2QvVQ`ad;n zUnSv4nzt!5T5-Brt1R1R@mo=?66i0)dJ)HvuW!=glU2$(qa}iaHGX;FjroCpqXHu- z%)Gf?AF~I)!1XDEY;EE8Y2j`Zl`LY7sx%aqbmqg*E`=F-{>xz78^xKEB75=pZ?lzS zGO%Kj8vWzfcPdW8GMd!T( zY|59fS;#(v1M>J+5BPH;tOr(R>EeGUGCKQu4iCv|15dh(yF-;9bzGl6bu0}0^3XBr zkP3@H9WC5QUW-G4I+SAm(DA|TTgX~HKC@U^7~Hk>_`<_n?G^Qv(W;3?^5Y3lpWGJg z6BlEt$ht9)^)MK{d?(K77xxQ}hExqlF296S`<6a~U(?r8{@^a`#%r&@c3T8Cdicz> zPh$6pdF+lSUxkH(H#QV6xa>-dmm7DfCSmXcg&*g!BLP31i0;FN)#+iAB&7nFI!Qh3 zc$kUDUV}|(ZrU2st98@E7c}q%zT}YNuFH5>5#1DT%G0JF&X3~d35<;s7rhgG{Bhv+ z467#5S4!qY%><@ldYyFIHSomj*J0CHU5|`5YKV_D6bCiKOgGDSCg4Rb&P+=_J(biYR9P0;|a7FzdqPb??V= z?ywQ+n+x(T&N*bMYCiqj@tR3tYX3JfmB8uBVjcC%<4Q9ph>nTF3Q-t7JN6+XfG6}P z+_;nnmFQKU(d9_lT-}_O(3)o+FT{I3c=zx8xq{eS3s1Rnh^63+%=ux>m1C}0vgII|vGb02cI+2usC#3mIrP8{Gw)jP z_8rWBng~KZ6As&9wqzfsjuWb{#X`6)*$3IGQ4M3ni%Fm4SdA)@2g_nFN}#ED&8{mb zVo^}W(J=jI-PNr9%5vk2uM8?-jCe8b8xj%br}2pLz`}D~%>iR2_(tN0C@gl5l*MD@6S^X*2;NWupk45}`g?GYUr7dQZ_#)WM+_PCEZv z-~60xrRfmN`RW}62uo}nUi%>DqH`=?-^gC%%GZ~dZVc65?y<9>92C`xux%0AnG!Y90X*61`<(m1JU!x=Kl3}e`_HgF74M|}I;-|1kn)@~Bw7_m zeg!)*^NZMo;>D6JQGcb70lNy?`|X%&YR|W06HJ`^;f|FPm@c~2G+S3hw^W9yr~Wg) z8*x_0s&#LlJCc$ilCt4_|0?hNN}QF!%xayOD1Eu;MbZ7ciQ3oWtb&w-V2BQ8q<$x= z--ul)Jv#!^*RuMB?gH2d+Bl|pPoj}bJ^p>zb1Hx*PJSoWP~7(4m>S@$8>aJOQBi$vn6FyXl4&qgVJ$vAe^@WQ>fKu=Dn^c>QPcvs;RA{Y zs}r(8X-lM$RtOZajhZN4R-Uo=RKv2#2?~qO0X%$uVx$)#5mCja05-M(BZ>MonBIvq zPVKnHiHJw2h6VP8U30>$-h>8aH+|wjoya#B{bQgZHbPe>12o3jtlTI9!Vjy71(oUs z710{Ffg5I;894QxPA+j&F~~Iquxizb4)MX-PG6R_GF0%)z_R)(L(2{d^WHv+V9kE3 ztZd3MeEm&U7P^<`hI^8MA}B?5V;EYranxEa!$rzkwanoF{V33ZHrH}JwC6=(c<66W z&3?;>Ty%&23H3Wz+Y!jJ5~%904K>uS#`e{^v?3Z?c4@b3l~wxCI$PW74E{SohO8RK zD3q^W$UZ+*y(ExTR+UL^p+*;XORLsMrN7&G0S!!_99~qYc6c)KlGo_P7psC_uHuXE|VHSt6?KC8A7bYH2eHCy1Jhmt6qK8>LcjV}5GDbIlj)7B{74sf4sBSrBV68)ysEMEMpVySUEGP-x&Nem_;WmFNx zO}~RROkVjvv3+8ack8-OOp;ZQSfpJ?(sge);>RJrQ^oveuxnu*HSk0yH5(s!g7}Uk zs+mo3>6@s~j%gCnvp$$6k@N+le*150=)Znk{Z5qMitP!>P1| zEVBLFV(YSu5G8IRs98MFyN2jd zo3u}J^s}mfb%KE<=P1FPzquwCi4dngkyZh$BIwoDU;`3%iZ_~IV_Q`)f`(Xw-ctjw z*RX5q;sok6*CDCFLZxQ~xq0tpifu$0;w%RT>K9Q&{VuqNDGpXIpZ8uGEZ;nzRT68k zdg?6Mc|z97lbn`P?gg5PEbwO2RP?i@jA!D!jP<5nf(;mga)pL z+0)_BnJ|0J$j5|cq1H+zldInfB-h0XStSi zq%)Y?z|jutR-Fq1NEM&zu?>w$#E9Op%XVVp5O-`RpaYHyYO^Vy$FI0VG%@6EQZ#Tk{$S~MPm5qO|0DSPZtC`Hh)r1NR>45Eq!_l@ z(PbucKZZ3>8QU`i;e-uS-wfZOS|n+e*J ztX%-ZiZt-V((AD)^tmtHF#8xu-#)XMR)&6>nEJ1j^aZ1S`)R%kmesGO+ebN4=sB>) zPOVJRNanP#X)ceR+YV`JBkSb%ts|3Bs-ddM z^6UmJba_^)vTnu6h?w4c0BgjsT6tzG&-ze(=Q9zsa9xYxh_Nd#Ir{udIGe7%91E&5 z4JRIap}q~vEcRX%`(sFnNL&LOXl=d(YZU?*qG7<)(uc4UkKT^$`HQ0+^Q$CI@wUe4 zo6L5dLidl!zal*x(QS9gdCwEeUnAPB+SG?%kG;SJUUAkz0Ix;yLboSLIy}>`YgfEc z?4G+eM8BqsRS4k89e;_9V^TS& zMa>{e(y~tMP)}`^Ua{NcmgC{cB~iA8RnU=)@CN1JcDemXc=$+o^0teAg2q4h8?XJ% z_uR9@W=$Z(Q};iD1LzF%-LJ@I>_QOKTW@4{>o*NL{1VbK)feK)dsW*kDolv$?zovC z{|%0Q-}RBlo?hJgRN?4NB(kK)S@x)t%Q@bL+(s7Is&xhuW?4%{8*JI=DT$?i>_Gjk zS4;RP3eVl2t8c8;99vpXj{lKlnK#TY*oRQRUYM7{K@u<7N67%lEzcj(Z-EAeY2c_S zp{p0-Ac}+X*@V@oq7lGuFcdBSns|(s;!y2cUoJ5c1n|7Ohe(W;nr%ghN8&!HqLzgb z4Ig=irO5s+>z`#B)ds8A*X`3t7?dP00WiD(Ni0#4ti(H|P9Ic8Hd7x5&5Si73Q(a~ zQ;iJRCQ6|409xgOs$*c28ljR-=rps{u-ppJdswBPG^WTPu}ELWgB2l{kG<#|BiP1r zk1^VVDl$~wJ(qnFCx(g}SWWe3300h#1jl2AbrT4(29J3N0#Y~91FMI1F0uQ`l$izQ+u z(`T2SMV<0=utg>##_D3BDi&*^L`V9wyK=+Ot=i{^Tj*sR18vPvj0H3>rvx1JSDS*d z%XTT@%YZmGj75qwFYOmXY`FXqL0(>YlHt)k`&`QzzG6EOOGS88us+ObK4JU#M)Agp z)GxFPU}&aML}IwgLw`hj6v555c#GDqo;z{>O+0p_`0B@bjSi<#EEO*IEi0paV|*W3%nw%OaM2YB;DTC+{ApucP*HXI?|`; zO?k3Kr1a8G@#6RCTXB-f%RY%sU^4eJ27{>|r#Sv`Z2wGN{b8)3J=;3c=T61P5H*Ph zdlI#ZGxgLj^Lr7eH+40K=txgB8`1Gr9u3UwPE=eSCP@k_mAH@FQqzwx&ZhY=ng{W4}cQEK zmo59$wpjqIdzSkAGAdd!@J08~Kxv2X)Se#sYi7$=&V2K8qaSmS-YjFXm>CSrxzDo* zjND?zA1&Kz)EM+pVa|;PZmI=^+62TS9}vJqNL6XRxtgCC@nXXts$C;Ocg}kXKSpC~ zlNA@yH&{*NFj~hH86ke%TELH?HZ_rj+Aa>4FH1|#5z0gcYnSmtz@l@If+k+ypdwx{ zf(0#K6?BIT!-*Zk79C45u5!($sFguiNVK93MQL`HJ;DO(ilJKHw$Nj`XfHGut6Nd6 z+Gr29N1$_%b>O;%CS<4}=T3kBX6Mw);pi3CNy@gLr5&rj)$HMF>2fdk5XWF6Ib)?NcBCyo*TSqAbio6o5S$sx?UNzgdEM^U@?Awz;Wj59AJ`* zkXr|k`0Tzz!@IVcTLH|xdzp`f4eYG#@6T*8+vvIi_2VrbB84Vo01^VW@ZrAyes9kM-?l&D4s}r|b zL;U_+y!{bu0wiv{4s^_8=qQF^z4S0Jm^3|XH%^}-<4xRq8;^#Lv!;%8+rbNZ_yXsL z9s-!>0Jf*%1w=2E;}a`Dm+iP`GJ^iNPU^wY2-7C$WO=>?+)?|TqG0s)NL9JbxdZ`o}ovJkMK7n;9# zB$o6P^ZAD!EeFA0eBnI^;AnztK>dOVB7j*!!7-D_2nN7^Dq;;TKWbj9@R~&=cb$b= z9bsyJ;*n>{+nyRcc2jht8gspES6+#e(Gi<*1{O;|S&^~SI#m$*8P~2bQw{^xsfdI# zwOn5M&yW8x0*Tz2aQN>R-+K4xkFiiZT7L6f={A}N8$PH%xSREY;j``&WY&Xipfxbk z3k__>yS&M~w-()t1?phkaB#^!f)iu8iKhQz+&3g5@uKn(66gmkzAD9V^e2rC=zq^2 zTX6T{C#tm-VFeMEf_)U1x{5f5fcmkUnoyyYt=VM!#wP7I;%%)|^418bIy~_D1y-FV zt$~rgX1#Z?wiEfsd7t<8aZHFbQQ6vP54K03V-Qpv0nC%8rq{sFp8kQ+@jt);*2D8N zU+?-Jx^p(n4dnKmDP6N6_Z*u54O}UF4#%ipQgQlx^<+&ThiaR7AwV4jE^zf>-T3oN zveH}5KZ(a8uxHOoS!FQ#MF*b2{AU;{C%q^qA%KrwErPNi_@O-@{dpql=t?*6OSw&> z=un2 zs)oj_1E+uzK2@)wd+`nGoOMF&Pahf>0gQ#>s~*L6!J2sRCLUIO_fD`DJ(@=4`U$i#5_WPD*mqFrv8OQ$l|Pt6!FuFzwPeqGQ)n&;2ZRl{5vgQNM9I_LYGB zZLc=9_eVNk^NSUX;Y(WmV%735`KN!PpC;+-Z@yPKBdxPO6XInMz?Co@v7O8HB8jE{ z!w8ezP?lv_kzq{>;HVcEI5W%~Tm-&XC}|lC zwkrpiaobGJq2mD3GrxL$s?MX1ve{;Kn8mYD8yc zRO(xWqp_kA>DNz7cG)Ty(>MK%D`WRU7Bp3=a^Q z2tpioxon@pp&CCi5=H14X(-oKGpaE zM}HnW3Yt2A0OrLDBYI;rS{aO{(zL{zvQzEZP799_t5s2mNYeIT=QN99oHFY@dn z9(Y>{!wlZH0=qPQN@ugAo%6;r9Ni$y2hJRam^d2@W*<)P-Dhzx!P9KKbSDt_xes%$x?M#!^Ty-}E2jxC&QI8>GkZzpRifiRGyQ`Ua z@D^-Oim!Sc`$eLM&2O2G6z_gw;@%r^B8sX?FX`v1SewZS#?`kM~vuAkmxjm@n8+z>cIKY zz()F}3}Y>{M;|ZPgA%0QJvzp-^3~wlo0Au{q|4P5g>q3zc}6K*>61Cm%ZlIMg#4C z;IT*p&xe5{WWlm>mUYz~w-E948f<|nyi_a3HGu#YXy6wfd@O6p8Q*86_pk43Xy6>{ zK}^?~1BKx=x8GKi;p2KLdEK42wO_#w@;fGdRWqa-KN{l@q}twxpUyGo6_OdhMHD%` z{b}rg$QNWGr_xAQeW$cDDAi}LJ(2f>#aME(;Hq{PdEvYz5v3JY#avMfWxg)5xv=#4 z%~Pe5A1Q47Atv4=G`L~j4GTyVJIK!IZ>x$ydVb6(n8ll6f<*NC&AqXOhpMbuv-oV{ zCq}#&_o1&Ma)^G7Trn`?SK$^KMJi*dZwVF~n-g~(G_ae>hG_n5**=XQW4T(B)kF}$ z2(u7DrN_*X!HEPR127R9qI|f}++c9gIh^x1u_8#ZxmAG#!Wxjf%}tFSO%bbex^V$a zkW@HvIBK0}WQ)-rfsR2?@%++jEO(!Iaingk+g%KKcJ}K=hyUK1ek+PC!#*;)?0&)C zg_f;$N7!blvbLCgs!+OdG52Jv0B%|MrEH)`Le_Yd`nAdyDp$Bm*%w%eMG%@pH(1^7 z6E`|J(!k9p7Do@eH2>xK!OsQ@uVzAM$=VCS#w~Jb*j`+it2;s63d&(nLStH1w(bo2 z($3V*)cw-HmT}tFNfh!r3!_4fGZhr=C*=7$Mtp)yuUE2w8VcUUQwQBTW`MH{dET=ble9vCC8iLUO{H346 ziF0S}JF#)%Z-hQ}>yy|7Z_c~}s}GsFFPXtd+;{H-(T6(%eqzM$w>?>%7&cv`)bFN; zCmvRUKaNRa5`rmq!&HmvOqJFBKO&)l<4in!7j_jyeRaf)C*s0aPhX%XQheDa9+rs- z;1tE1u4DVeT(8B^U&0zDulpD5x??&@fAU0_${jHoruM##$sNvG=wJ7yuwDY#=w7pT z>qT@JSN%R`qiOqLeMcTA+KYR-e5|Eo*Hh2Dk6-;btAUBgD}r6coL8I$%z0w5(oOwF zr#ON-Q~SRKXT`(>a2yh1S3&#OItt)b=Vw}JCUZ}J;URSIptP{W0pFGq)k+<(b>&t$j2$hpkD=pQHGJQ z(x`N=+C>~J5L8w;73&O64Amq?N-^$3OOaVVhF*-m(hyOw?~$*o8K|sPt}q%niYqKV zY}7AxS_N=RHO(p`h1w1eG*H9>2cP596u>GKnd3E05cCm?(}>4tk3d>*sB(3nz66`sS$K6wbsBZe2#t?e z#e#e0ZK_%3K&UQq^%QaRfs$55DOg^8QbL!wg|y^J5cs2(bJ8RMl}D;wP!7ApWi9=D z=^9JF2^l51nBA+|stP0#a^bFA=4GL~Fql&Srh?)4+b*q|zl`q?a*~$?q9rYD-k_G5`5C%irFJd56;IzS+{fDqamu$tVaWDqGnNcHH zaR7Zj`|=TJoBBD^}lNvzwvlA?+;hmO|#>d3C`(MO|oK6zr# z%lMX*Hp<+|RO*$-=1&j-^SR%S7!CZSezh*bymckQ4JFlhw$v!Jf(FJK>Rmnt4DGk{ z6#CS|9dmZ8-$4x9HfGX&7j_*%52tA0IDM`UciW?WFv%}S;tM2b6TpyLoH+jTJjPke z#TU`I3S){FCeFSO+cUpuYv{9b&uT!;eyVj`yiF$|ar^9Or6e@)ili(Ao%MgXt0i-`6s+>!FhOaJ1u zs88(uc2mT{h-Kf;Iq}*7DLl ze#z%cLFJ5CBK{vXP2PL&gE+wI5Eh=i;(rYYdsuiLvQaalR&m9E@Q}DOqBJb>6oJv? zg;&#)dseA(f|X2SVn{G3u|bZYepvxV{Zbqd*@Dcu%+l4|n^h#sSr{L%ayd8aS`O+W ztk+!EVHB=yyTbdzmfxZk;);1QvuAvx2C)d0Y%m)8Gf1k_CLAMOXuNED$FVO03+n*Mvg5fbUk1GwQp^UItYO=7Gy zGE}|39{U=%W%h@{ zS_lR_){F=L)mywPqd&Dv9-1O2Sr8WL0#o~|f-#y0gad2#MHH&rC4OifC|^4^{Le&; zzU2rPYMVJ|jlrnQ4NL{Ysk#3!HvFk0vu`Shnk9q5q0iI~-@q8GkTK9TLq-5+Sq%O%m^dHewhJ%xiz_e}aSn#zu6zSGV7aQ|MC+L&vmHlf>5I9gkw$S*ad2X`@~Y zzZU!c6!b8*PmCmnsp_v|VdBBNv5EW%%oq&))V!dFFVGOc6SF^tO}}Ui=F%~k`te9N z;-a@t#S8JwJ?opwJ*%w(lQ}^@9nmnoTF(k8&=%WIb5qkm{dmzp5Sz}_^FPDv5vPA9 z&U`(#BmMN)PBik>HSdN=8|9>E6mNnregQmry?SOPV0W-76!Ra)Dsn@Z6w)MhHthN`vH#szlRr-TSuS4jXX#Jaap53(jf2HE0vH_T zutH>o73o!ng;vWtb;ZAtbE6#EAPPC*G4DJUp2KtRPij%W*hm1Qev!Tl`XhE={_v75tPLVpVWP|LsTf(|Ac?Qv z_*Mp&MartL<{~USo;vDn&w`aco<)$tzH_Ra{aUx{s#aEB%1ucT3iD!e9V%#@0 zB1ST(#}?9xW~hKT$3|P(St9Jzxl_M}p~{VOnJ008rCpRET8^Vl5$qth;2vmim53mQ zX@z6r`oMCwanusAaY(i39#(EE$ZUOSB+;iPS-DdLRuCL$4%LjXAviT!+Q|pcyz5mP zJ}jrdo%PH>{|%(D@bB0N&hlXSn!qaSwNSMh%#+Uig3uW>TDe8V{L&55nMWQ9i6T`4 zQ-823$S>tyFv_z~zH}kGFIV3v(7-xk5^{I~y3jm7`<7g_G%uWMH+}NO*|(s-FBTYTj{MbeKwRC1hDNd+N0x+y;Ns}>SUlusbKOdWow`#M5%GG44J_JC$FYA5TUgd z@G4laaB^W_bKX?ZwZ+20<2iR=zP3;g{KBqnO5Cc{ERn0j%M!6V1RClef9^$8^rkC! zk(lln54{|5{1@8(BvKs2FdCSo9f`zn-jefvaJ~Mta5or3`wiL9e(N@LtlzP+soB3C z2Gb-RlVqy)VQf1S58Q$^n3{bOY2d^dQa(St45zIN-Slvxm-Y&A5|!bCB>sPm9^V2D z?N>=Z#1${}FM9hSF{FOd#+yX-r(XUFY&Ry3{ugXS)M|a{Jg}GE!E32F2_{Z_KQ^k? zKk;6mxZ&@x=Ln;FS24&G%=lN7(=>s2tEp%IGrtgVdK0R7d4hGLmqH=C z6;^KRBKZ41{>$%w`wvj3EUL5Nf-eGBx{rq^i5RlNE;BpVAb>ZBEFF!iC0vUL81)MU z;W33p{ob}_@4eFOjsML=RsG=1}OINa((H}kOS)OZI^h?aM z9ckH~Wk0y}ztfJnG1XWt#2OI5PMBvs%?WdEP$*j`DVFSG!?hcjkS!FIIo_R}NLTsWG+-V6LeqCNfaILRctP z#UM%M__M#d|0~{I#WUz#P=IAkcq(0C3;cXWy{n~_jKpgFg6RKrf#p%5VY|E;L z0k2Du%I4K6%NpChjhh@h&hwLoEV^Q$Dgt)t%*zLP4a$F5G6 zyycoJD0cfg=(s9ARj=7}*<9@H2!na{!H195mk(6*>E8(Y?Fqk{`}`%I0!gq&3{QIR z#CF6~TM>+{n;3?a_2H;5GGHOn!09BGh$kMttN5yWarz?bO%!kEu_Mt)IuZ@IoeO&S z|9UzI;EoqWKi5!P^qy123yI9>Qnr64Fa0#O8}VTz24J8ExRqNh}sb_y0 zdrn!Y?&Vlv4ehPcw??c$(5@6ck!mMh15e)YUJ)a{7yW?rohW@fP6{bUBMx1s*PMEE z`FGgrq;DcWJz`TxsNabNbyc5JmentG62Lt*ZT~3s3+o|(%`$ICikJOQ?7D*tRvNe| zfOiTHxrhTstlloR9uu<&;Eb@Z6`RVUJ|y#7#l}-&##540u;e>0N;nAMZ+iRNc8JYv z$_nrD@$an2@S`VxiA@ltofg&0MB%G8P2z*YkDjx>)SQoICCid(GDOlw?$XsNUdAgekK{46FWXvB-p?bYj{;^h(nIAZVm7)!c9>imRqAq!i;mthk8Sz=9Tmb(|)Rc#}qv zp<*?t4dt5%QeS`hbE8^}a~>J0+!V^idGE!c%I>+$Q$%7k>a-#Ut5*irVkqh%0!iJa zkt|qFxVljBL#48^G8mlCJVT_3%BELk00Dy@hgG)>a1Y=>dSm7jyL(!-Dt z!0`c)78{SGumU|S8cW0-F`cH6G9Dv|6QZfTzNvPBFMb*VIDQIyULRoU#}zNEUG$o? z5m71=FJAl$*i|!m>8G&?$Q<>u3TTckZvI2;`m!R?Ylacg-s;>5V557Xz0-~)hT4td z$S1I`FrnSPLVM2>libbw+>8EMN9o&>6zRL_E_xo2S6KbhqkePpdeMHMrk?voY>EJ$ z-1g_#o}?ei;^vQvf`_vrCNKIOYy#7fBbjN2#(DCJzsG?AVQ&`sSy4g#B3ZE%$3=cg zR0l#$6A z`Dw-CM~H{-eR#kjlUkp3g_X7Ja^M#%x7=(&HRjL80Yr61h)Zs}o}+Mb`kyb~@J51c zVs;OiK>4U3RYU*>j7=;aKjBCxXS+FRJDG7OSh8gJ@Ydg@zDD(~K?W;92u?U)Bw{w$ zfSOux_8~Hn!4+$oYHpZEI@)2L3J`m%bCk*uFUEaHDaL(6BGM=#29(h-o~9ORM4BuY zsS_2=-U0hnw-8s2c#NKrL=jdOf%af~1eSxW0~-M>{Y~@PM~DDH7RKk@1Nz9sX%rE_ zSS9=vjbIEeXRezB?bV>K;869-x^+p*l^y&)G4;!Z ztUv%`74u&)lzpl>c=7k^Ukh3WFfKX@t5A(c*~dw>^_CK)hmU977dV zKIE}-fzHnEJA^J}y_Z}YF579VcU6dt?^G>%=QRw+w@}nnR82F!TeE7c{nmJiORCQ` z1IH%_E#ilte~}`PkS#vT&rNJz2y21{rozi*E_L;8XDo6T3#JG}c(vekY;`wIB!;=& zVdEOu=w1Um$*nc<3xWvNkl56bc>UF3dNF#qn;3>wbkxY)O%Jmed(ZVeMo>SYfmcUj zsOL=&$4QK#8|^tS{N1K6P8tHZ8|~*iE_&Cfc&GNg5xX+N#=R-={^W>$2E$IZSL+yT zr(3nPFY_8!qF=JQsw&zIJ_N33DnlY@1S5N~_%)A-f4K#4aZ-ibQ=TrJZT>Vbo z(&cy93h8-rzf{!ks*iI+{c=dJ`CDwa1JY0a_O?;0sTcKeD|i?~yRvO>-X}@`Z~2=a zxa_|%rE`S(Ge|Rmno1gfz_zZv{Z{6EE;Aff-`K#-nEw*3D5^|Sh4t*Cj}xqhekBb4 ze7>sI^+YG$PJa+az9 zh~$iLF1hJy$~jhmRcI95)K1P&%u0JCJ8T84q%j7z$opGmFf(r~ zzNJQooE}8f$>31==FnQ2_x9j7QFVsOH_c@q=gi`3 zBBucwSZluo%hk|x*e1*I7%K?o>*EMuUM9ki&JJV1)|Dh)ZdIClOI0|dL;nkn8lBl? z^{aO63SrMhNw~DJ4b&dVVDVK$&JiBzQR!Iyq*FAV=x=lp(g&fD%0NV4736KiXXjp* zm9>m+b-d)}f)eY%OZGq>8C;vT!T|X?xOt2xl0rX88P)ue6H2A(m(>QgvJg#XTsEl) z&@mD8x!FlNL;bSN3v8B%-N3F}K8wk0vh|yvSvbZAHUntKZ0*PeJ^V#NQUIHCS={&O*B9+8oI%4*# zoqpP;5T)(72t0YkN3lJLlB{ts2}m0y#K*1$lPbz%iam+y_d}G^v^p08c=GyR!J7PQ zp??h=?NmEckNoiDtxCY8j3=deI_){*ANM^E($|c9>hbTvMw|=jYdVTE_4L7T@QV;wru0(jy?%OPC_-A3|}y+678_&4Fepq`!1hmF4pJYw6|+~!&>(buZ6>V(XU zGlA_g4QKh6hC~r~LVX36e`cOJf6g0lMQD*KtgCd=z3<}Xw?o@%IJEMxfMRq+t}4&q zv+9dwQ@(P?Z0?izG3Yr?N^#j|9&e6ejODGG9z`ui>9Nz>=>nc&CLFce* zIDjAf`YT8@gr1Q^5&DThd$2tM?ZFxKcT! zubN(*7`j*wfPXq24-7YgnnX^&e2}$YiZFKRcB~qpveF5>s#ReCK1K2?0UXvW^->%w zz|&a~5Mx->c204suCV#}qXZ9HN3q}CvZSU#D`0G|JT-_AItnNnNdexD< zz9sb|fZJ)m{iggHJr|e(7(Lug48y8NWxiP&>5DZaG;kcFhkIhi+z)2hE7HSi)w=l+ z;p6WuL~0=-i~c2o}nil`%NLLZ%DM7df{jJJ&w~G zKZ8lZEY&9Rr;r#}gvrotYdh3m%e#8|k-k7L*ogGXDa55ObW^`k{zSjsi0ZdvGOR`Y znhdMpJgDEqjyFF2OJCDJxw?dXf&fleziaFr0sNJx-iou-e0tt|*X=cC)uF7(FdNED zC^m%lqV$>fg)H`}Hzg{ai3OHKmV(fHiwykLJ@5YRFaJ>1vUc5m6O|FYBq8pGayVfA zC}%9+;wdh}#~*lPuFj&E+~8T2X4gQad7WBKU70I8E;fgpMYc-mS=QCNuk)y;zX6Nf zWaGabs^wn1V0KZ@7)s+s0pDob>Mq^m!(CjzOhVFGEe}->hMHFio zs_YW_uOF-PNOPT;qPp}o1C<@L&|A5-c}l1*v<428gKSk1H8!g86GL5!ZCC-_&EM(B znoUC)vM_Bcbr&w4JgpQmu1dtAQu!l@AcNUYK&B#4qs=%*4=eqzD=-`MLtd3PkVzZpNiiZEsvMhinj=op4V$SQ-!EX?YjVHOt zs{5~Da@VJ@2@;px`eMeDju}9DO;aa=%By%Y_Ud$Ryz6dlr(XO4>>BB)d%N}6jcBy# z4Obv&SHk2q{}mgJp(E)7i%3agGNe>UVCuu_1aKsMq5n=-hZ$=8JzV7_+x+aBBaTPmCS(63jQX0FVN6*-k|bVS|>vWw1sp&)-m+=rB6!zUqDABhAi z-X!kBa#1(u|1yjj+e)JXE4|p|q87kzSU{mIIY&?&$O$4b8Z~3|j3kQC9)b2?dj#5p z{X__HKHF*3G%8E$&qkz`J>j5}_B^v(zUkn;^Gz&0_x9^1_#mIR}Nkqy@0q6rwhb z2#jTmdT$)7y=UGy64FYJj@?5Mj-S4J*k5%0C7$cKk-W}kni~t@;t7;6Jz*MLPsC{HAG^#T>~5L(4Pl3chrb}e%B*GR|A2~0;(%~hz`J~5&cF>RyE0$J7}C`*blcxJ@q^rvRSV#rnG>v+08aGlG`|FE)Upq5`KNE( z^*);dt4X0XCj%y<_^kc%AoU`!qvy^Pne?HPsZ0@=yb_EH;8ENDy-&aE2fpHWFT3p) zW}}7BT9oRoj9_Yz5KEq#5YONL2>v3AI;7Iuebq_Bj|<=dn;|ql6-@M&TdzMd`)^Jt zv%+K6%anRh4A31DaYSzh1uN=~uwCJ4Yum!jiYyg~NHNH&64G}`f7v>T`lXC$l+r@|A|~xHv*7F_*hGydM!XpJp`~clT6e7#FUEa^X&Xc7 za~>F;ez$W=eS$_Fqrq79r2-K<%$C$Qc&VDm>EtHHjqj zr>3Qv!5ofGbf}yN>J?z_o2nx;unf6KxxNF65Dh?&hYG4I1noUD-~HN=kBKHR$tns< zH!kF##DSs8r3hdg&L$Qj5eQuT1GO=IJ|#zljp#s9@t=am^xk^?oV=kfU1y_GBYUU6 zVjwKd$V?%uZ}Cs5_aFyLfnUpQ9A&Gf(H&})VJ{v>3CqFF8}))SFRZY`Z1WgZ-Kwy7 z%j+w=WLC9;GcOX__r2t-$?(K(HL;&~Hy|9k^nBGyGlupxc=!QO} zZ=#o}JwJh62Np^l-}5}R_lL0Omibbj(zl1KT@hLXuTlNRPgC)-52fkwjY z>w2y?10s{vMU-$xuz1Uwt&MLB_D3~g z`ilV8*3DPPqzZY9)=*Z|7Gyq;PL{z@F%Ssr%*OxBj2+R7`bAP!t+UkCsJ%ir5=2b8 zVZNz>Rk9{=A5w}9pPX34igK5U`;aJ7j;oi(RtAOz29LzWF;G;NVQX!SHAU9RU4PS@ z_Y_57Bn+aBM)DYmNTLYs5m*k=5tc{2*9pv~IQ>LG1)G^85=FJw*hqgiNmkP|f~|vW z1Y1c4hpJbEvW)sQ8kpl8sIR;tk-7G1fOJznEsbadlq5Ee(S#Z~Klh&ohyE8H3{@`* ztg5Q7+g27{;}$;WE!|YjKR!^|ZmEyak}4!Q&sKS`rraBww`$+`q7wcHOEag;#>c}PAC{hE#eEOxA z>y65?j(+E9e)VNoATB%l zBIqSW3_~|PJQe;n)-ZAJtFS4U=JbU%m`FONe(}|x6)VB$;W*v;n(}`M8!>U;o3Q7U z|2HME6t5fP0gUK1=he{d+$4xMSvCFimC_N-SGVxn@r~S&(wBiAu|0|BDQ^B_?AkGM zH=@sxtdw)7fhVTF9@{?b__0dRUU?OyQ-{=dZ5 z^MPH)yfQe`nDfniwNLdsb>wZ>wW0;^MC#XHt%%|ke}V15Tq*qnzy`Bx?Qr>F6BzZ2 z^u->$>eFJji~z<*184Q0BVv|G5w6M>sCueax$M^6OS1XJGN{c^B7^HJiQNB4hDklY zP&B8nevs+t29e(-cKq^3e*Wve@9l%ad(F_>mt>F+t$8bWWcH&kiJ1|RnPK88M3vf2 z%tSUrG7SQF3-VSosHUI|^1|bPMmQs)kPThdl!nzpuD7{xo5QqSDOl--qI7(EX!AxV zw59O<>3QbduI)Hh2B&Ui1L=6o;X#O5nL9K5Ps6kSY2U^vTX-lXSAF3Z)7-&%`(=7W zTp1;aFm}R>UIgARS|ClFcrornOHmy;O;c;NZ0%TNFj!6GAgUVL!${mFYGs(ELM(As zeF<4%hBdh*=O}&*)iy?=2&;=gBiKId<}Mo=!6u0!v`3&3j3tM-Z%`4l;tEX-tb%QH z2*yX*N{7ly0HaR1l65ch?%{#@79ByADyv%=hmkaLD?!_e7Qob8##{KZtoIUU69sDO z=gOCraxWoAk-oyJa@h;yE{oi3Yl1E{Hj0+d-aV2#z*=k&@Dk9ttMs|_t@_fy6KCgN zKRWm?)Hyi+RofO1TD(q0S0bqU>W`cuV)Z&OgI2?)U#?v&{?f^5)jNy2POdKOTD@Ek zD~MZUYAvXF+r|-$s+kn515=;pAnMnV9)2yX1VLEXwN1S+pjMT6;XrY0&t9yrzRX$u z*(7}I$kmtDsM3Vj#d!MT9Mf-d=4HED;8#t1AuhS)dh_;a&~jZtB%y&#DN?@%vj`k5 z{3eJCU@WLXG;g-uZpH1S*Ed_w8{LKxkusi!9?oSZK7A#R9aANyOnqWHP1nPd_PeM? zr*Q$C!02J>U{fFu*3h#unEJ(6e^#sr4wU{@tvIH;gaA&G&rJN=8+bf-{6C_Hmsfy$ zV$Sh8V$O6==(o82*Kv9>ap)(o3Hs~>=m?uapQ%#vbkx8JLE9$`Ch7dSre#S z9Q!+*elVK0AKC{%N7!z(*YAh)oIQzm+OBC6gr9y`ensbBkP0<}c||Fo6azy%t~(RQ zz60Bh;>i24Vlaz2r%W9BF>FL}*KcFbA9K}y)Nk>sziiIvR?BJy@YLB~Ou2wxFUB{D z!9C&>{*D*E0t%^t6*_p#^nymME!FjFsYx%q}q&wTjI@}D$P3^#S`xX5AA zy=TM{>#=1~!~X3@K57JTMrJFbk`Wo~A@rsYXLPGLM*SL$2Ht_}Wx}d`$m6Oavu1BV zxPyCd7_jYaH(rS_&G`~R&McHUG>Vr7UMSr_Nr7x=mCnq1(y}GXo`G$9Rc9(bFv_oq`-VoORRA}Ft#l3QamG=>HdJt^a?M=kIh?`rZtQ&a zslnP-R4W=70W5i)g5L{DIdYopK-PTybyh~u#!#uW1{TlEe8+Xo&jt_GHlq*8K#D?T z2fB5^J3{io%C^9&m{0#otOgILkFHdS=rNRDWoJ3NzjXGlTv*8#4lgZi&-=4Azfvh| zIT{YKtbDn3x>k7b?ED)>#gc24ZObp8Q6D+xnMD%TbV*36{HAeAI2tZvI<;LbM@hny zi>O+ozg*Dp&QD@j#Fxqv@x<<5TbDfRMN$BpGnar<-Z8!kNHulfn{d_(BYH`T?MY%U zXqAX`glgCo5v46||1ajgIC10`u>F(hG)lNS64~NR?R}T%^1hwP%YP5M#;y$=2auAv zWBrb#B+ebP|Buv!5;x$`fvu%h!@ z9Qy!P4COHAh>3mg#zqvcTC=k4lQscdyzUe0av7t6SxQ|J)w51`C@2xxF0!M@Pr(G% z!U7cvA~P-q9ukKr9u`a3h%F+E23``iEuw%WG5}0Lv%ZUx)eu)2_>ceOjc@z)n zj6AN0+EKAEuWQDc74>YhN4WBt*Lgw?y33KAr379cZ7gLa3^VE@$pQh)diBohF2nai zE2q9rR!_y5o(k#Ja+X!~0;d{gYN0nI7yZy#sO3h(Maw~nS#BK}i~t@i$jXVKPvf7? zJYk2P6VeY8+yO+R9gNR-T!(71%ObF&7g4=npecY=JVr_}?i(5r6o4{KwANZKY8pj5 zbWuA|F(R&hfpH==wZneqLm6o43(1Cwh$NHhJx)snO+OK62`2V220|p>V|$(U2($!s zaxgYdOQb1)d0E8gp_P`JO*#bQV{N5Fi6;aIbw-D|jc69r8jt2EHb&Km+ zVTG0x%3}v!X1%xM`&6ih{^qN%U=xjbC5r=xvrH%sKl2=qwzSJZZR_r9@XHLf6Ri3^ z_vjNiaM`UlVwbq?G_){MHlkeso9}D)ca%3rU8X!z(C>lL9zS`zh>5pUboBh!MSzI3NAf&#rY$K6T)$`CZUIk?!r7ttYD+=hRC- zh+Q@7sC%J(cvtnPPjTb_hdn>6ivaFl*7id~`Wgm?)1VbZ4fP8v7r@BcZi9ht<0)?XA6PLwfLUKAUVJMyPVu6@5+iw>b!S}IyT#~};_Tfo zyyf0&{)Gqv7z<}a6>)o!UW2_#?3@v2j)H({B~fMZc1~2kdiY0K-l?-qh)3>yxOFi{B{9~V$V^iMvs4SC(nSE{xEAwa z;Yr(((v{W#k3wc`>!M3{mJS_vErsLxmSf#Mw$XX^0o)P0$ikZA9 zzc}*AU1z`67uNCNf6n?N6>DzBd(jOB7n}p+CnuUyJ!%|1D70l$05{@I;>EZRX+>lw z77>d;!chSY8USmGjD&u1aJ2@GP+Oau6sTWi)w{R{R`EA}>8RN+s7Nb`NTO(H4|2{r z1o<&Edt8n*X^%ilu$kG|ILU|>z>Q$525tmf=@D#>8J2@FRIoWVF<7};So1g{wCBA; zJQ@aT7uT&a>X(&LitW^7eW-NJT<&@Nq0-gDIm5^^< zbgz)=S-f!Sbk5E3tWRo0`uwL2-b=jDsmT&zpxh#jDsZJbnR6*Ha?@p6XMu2&-=}n?`sS}*|4l%7G(Js z8$pwZy^kmvTv3NaT=J?Lbw3RvL2Cr?mABoD{lfhZVY`vHI4OYBM_JtY+uWJj;I??KnB4g{Sc4hcs?UmPo*-JG zU0;muU9X`QFZwtyvf`XmCXRm>cD?Ah?}`12)3JUxI_4>E{%dRt{FXoWOq}`#Z2OCv zW{;+x_^yfhAH+#uww@#6>UVPYpJF>6v2#I-`sLuv{4BPel-*z5P?yy&!~`(P7TXWq zhLkd%)d}EsaoZ1T6u^^XznZcm2uSnwEye5p1wD*|20kRp1HwW8Q$zzZ<((Ims;D0m zrEeYm8G?pfC`)SUEcQLXluSM@54Ai7g#VA z07(s|=y_I7&!<6+Nr-~2B;TOzGTV04ANh1LmW2qmq}rpW{CQ3O`AJ9sE&&Ve)oB2;T_0T>v@{iNr6MCsh5vdo}gd3_739Rvg zf*oei$!EQ%D5|rNu{t74@LpL=$Sh-?8^Ab+PV0C-lUhF7<8Lb|q%vHAwSrtlx(g!LOwWlf< zYMVneRe5{CQWG;Gvc`pKEiMIvj#aB>Uz%Bb)yTm8R1-_riE=*cp9y?2 z1m19g7{4_ArCPndK+BN*l}=r{?qJ@QH59WScvWHN>^U}?cvwc30wsIiqG`WCzC(p{)uwG=MZi&bi`R4ese&_|zn zfeMC;uDc3b=X#+>ZI3_k92O!09Dx#6y*4rG7Z@cL%35H@$y#dg5iA0;{CmZ#Z+W8m zH<02WfU)zw>h!ES5^1#mmNB>G_IL`3CZ>3viF#ih=3UTzj=Uj;g_!uWp#QO;*vOhM>;YU{1A5H3*UiF&}TMt%=_dVNn$D9yoHA~ z!>zV2;)1px%rWZofEr8_h+0kU`)cgDW~HJx8mA#@xW@I{mGa8!Ug+4wFtzt5^efk^ zWeoz@$l4WQG;p*#NZ-jD{{-h;6Far=r@^O=_ z3MjH{QlI8k?|;tebzrp9fb9-Cc0Ck1+ZlEPKuIn z_sOAgSsfOY&0?PBK07MJ{^3tBq4)f;Be{R02q*w7Ab_!P4U85=XA;+6h1IC0iTjW! zLOinU>%RCOCs~Z-mS%aY8B!FXnu%1c8Eh19k|;tl!pjI)jw-fEvd)SkdhZ%AJgw{E zEN^Xgx*Uno5^Ux)Hcop4IC?e@aoRPfrgL+C+E~uXm19IHL4MkH5LCPkIas@>E-Pr- zP<>ps(TcQ9U$q*jZc_r7=bEhM%9oaMd-K)JLI#%bS*_J|c;p{V8b>c%8IL4!s5GjD zf~^IEe(qVjbd8^T&YOR=CoA>bey?&dO{sLoAK75fm*>?rQ}ye%W&1)N^mdJU!I(4c zhcwR}4u^I*$7+7oJHLSIt%0J~CCzp4XAI5Q`Y^L)4ITRNe;QPyXi4HuCx^kx{1 z860?icJ}DuvR|qN+&>!dD%IGoo!lW{AR^-?$LK zSfhcFzS#YbK3#3zl;Rix%xh#zu>r)1%V0Dxwj1URDN8}nQvgS_->Cb%MJF1zx9V9T zL85*;Ju}3KvYA#<5lkc^F<*+4s0pT;iJu=moGyl;T@S}8zUFh-Bm*pH-_GMIh=$GB zuqmug5>MLi!}f_$za43p#L>um(sS41tcxUG9|1gZ=-t@<_cI-H6#CC*>KnSXI(Hg) zrMkB#=SKjqukMBRBM?6?5ygxCM*X5ZZ^Sh4Dl~03+IQAqUe`qW;;&Ktc079NoICZ~ zZGR)Cp8i^F#Q*i`7a{>Xaq@ey=M<%W>FC(EVAJWgFJ?!~R^z8IYT5J6S>}kAmrQ3p zJ;^Ht!7X>*R>5QP%Vd;!CsS_OHIjAK3Vjn@)Wj!&#J>Bcp%gg##zO7B!Blz`Ef8)`0Xy zUZUac-2jUb(3o2X!O3=t~W zM%Q3#LQuho*5oalnyBDl`MSD$6bAu}HR!f#E96SoSX$8?tY}Qs>>Ck9a*{9 zw#vwF8Zn|X{^+o^P|NPmSGI&`W~xz1J^S2J<`{mivV|vfDRTs;T-`Y!7ugNk2I@r< z99C^v&E!d|o=Vff23yoeoLRIz5?cR0wblDAMCjT}bjNkbKxu{g<$<4>yox-HY#-6v^vnh z%$IOhhZ&5~!{<~CV^^n#JIml09hZpv8C4uJ!fvci4?{OeoT7d^FyKx6YjWPw{|=__ zT7p$b;*|;D$(?_WHJFk0zbEOKY_MJlBcja3t^WnPI*iI~XWd5&47j-EPjJ?P`5bRY z$d6qw5=UU_#rI&pNSHYB&DcIk?8xds0L$0xNRhtld+;MxCwU0PYjB%gkG`=1tM~ECSzg_p1=PYFZk@;YWy54?i{M*Qz4S*|Ow^Sv6Hv4a>T@ zABw7;7a9=2zx1Q`P@~>#4s;g(81SfVmsxDWLmOn|u;noc#xLuy$ja(=gSLa#%`(TP zpk}CcR($|ieRj1y5X7IkCa#fIf?08lkgjQJ0YPF!-u$5$lb?o@yn;AGFKCuI{UnvG2%U8Fs@M~8$`y<(3Qh?s+nY-XSqa#!C#$|q;)K^3Rw*L_h} z8JaK}tRDvEsSR|2^(?0v)Ghs~{=AzzU7jxoHSQt&GavDFICTs@CNkp~4ZINem)v~4 z8jxmB^F7ZA5Ww6HaKH%QYwoxOn;`mB@S+Smz6BZqj70+*0Srb1r`(F~{rvsde(08@ z{|p@=?HV(z6nexOOxHx1FReuY$1ypL7>4tphbN_4_KRaSrO;CkPl}(yu94ouSd}D3 z4@a4ccl{}Lb+j)LLqA6zq58OEIWNlAYI)I*ZI|%1l1E;IpZi8HR%&PCi{15V?=4)F&417+BOJ6YR z_gwFF{91Y?-}!vSZ;3NzF;cO<>KD3=s(8&`i0MTf=q7;UX}jg=9|Uke>Ni1r41HpT z%IwpqUoxoqrkd0$$;AwvDIR{rz6Tyz3e?*j@pF1ZDG<>j@PeKZnhB!emb-5Mr4POC zmwx2m@u{vhYkS|l4>*WSA^e0L2ID~ zI;gh_9EFyL@IUEW^}%SjAqVEry=79|;sg&rmt zLFSF~cL}Rx*G742*1eMiz4{0i5Ur|;N~ybJR2I)vHs~U7@+A6_Gf1VNJby`c@MU^o z%c;dHH`M29!l_tZAy^Xid8#~dW#OAmT!FRF zPbglq%-fOeH(pz3FR8*p6_6<)(_00(G#^vt~O{Tj;-eyj44iJS(c)6Ev`yFRiqpA$>5b(8C>R z@1>tq?X42L;(FNZMaRE69RGxHKdeO(Pg=i=O^nlwttW|AJxNI7;+(G^S>p zj;-3OLC2cim^%FJjT=vYOdR-OY(I1yh8b|jO&u}y`=_|${k;B(W0<_;AF=DjN(a-E zp2uNb1aP{n?MBbBt)2GcSseU5EYcTiIByy_u71szU9E-oT}vk+ec^nnUx+XNo;URi z(em%)l?|tVQqrcdIsqJ~+ny%Ilc=WVbQ&Dyh-Oatz`YM*4d&y)2J>Yw=wWPJ^d{JS z*X{57o!9-s5C6Mq8StZ%7w>%lhc({Y@4nq^Le-IxO<*jM0hBh9g$)Gtv>&z1Vj}BK z9-&aol%Fn$?4Z~x!udkDDHN_PYJp%Gm`0gPMpBYgafnA z3nXZT^a|9k@tKaJb#a_9kBD-ELd7~w7};5|&JfqAnlVy}ai0Q3{x@I|7#l$sk!Hh> z1SAd?$z&Whxxw(Fa}Ynqa#~HI2%RH(NDVrj(dDg8+9R+mq?@pcZu4MvL6fLpXbGAo zCfI*Nx<&xvnB!yM+%*rTsu{~>P{B4@g3ZBzigyygD!!u3x%!4sviw`ekH{?DQp!Ja z(ah^C@{`Rn39;;y`mY+ZiwZpebT3PrJOv4=ZEBNGQ5F1Z0$lAh1 z7AwA0G;*C6vurybgtf=*UDsCk_(2t=iaMolOFE83UGsvGuiv^%LRPM8$k(!8B2m@n z4#5i@mg5YQDhz|%reXThF9d{vB~I@@6ohqd4vdDaG${oEa+eI|k0eDb`V}l}x&CS_ znpW+G1|Q7PKlJ#s*ob+*e(9~daSWzOOWqiyK?CDNRJo<_ph5RyBa8-y)AhRf14z)) zn6{xLAz~QcECTl=alefdzcZ&)OTlDVY1`Gcg4H!d07r|!CIgrxQ4vfeop}9rRw{oK7Qib?(N6n7qlE37 z3{%k}?fTJC_jVgVJ7&x)t#3$Z+J5*F(!Eprf3U~i`FTt`tCO|;5Fg&^Sj7=*m%fJc zAb{i27t+=5Dt4_W3H6&IeIe!Sta@y(xcY@v2;ev=y~IKNV%I2u`=tGtn0enrTJ+XM zIAYmmUDtpB9|;0lZwTNjwtwAz4JK@z4Z`sM4~W zOMxQY#ida~9)qc1Gpd%){l;?|dPZ7`jEcUep3ois+l4v0UA3#DPGHAW$ouROX!VgbXX?t86Zh>>lK?SKU4x z6tdMt7k%sGdF3dq&k3jGiwf7bR~@a^%QT@aYrH|=*9$YgsJjbeXkf&uaB@Mtgi{Zy ztmxt(YX>%tm-NarBjCCvr3hRUI~Nb*uUa+I@e)*324`N}FH|*TFfo>MX|YU2zZ=;s z|JF!?uO}PZZoC$2)NdSfCTPQdgTv}^tQw6~t~RKGUd4$(07pd6u1E0;X}!I3YfZV~vlSavFvW-{o*+>z1(Tuw`i9lDf)zFFsDabzGjm5w7YRMw z59_Okd(x*KHoMc2lwnL+{~0?`{3`7EVJ(u_=wXQOY|7pxh__0R6u|MS=^eytLVM+N zp=o>4E_&MsmO`{s?X9K`er*rcw<^APbZ>FnpRCIcP8|JiaUN+uQ*qXEKvF2~_!Dt< zsa^U;oKp=PSHI>x&*zBZuHWo>Nz5+K7S6T$EnfDU*cN7Dy{}6B!s-SV3E)WmwpUKc z9H+fuN6x1J?ues528?3_Fpkl{n;hkjJDF-2&Z##;3Qt-r>EM{R0=C2Y(sg&<#3&*n$&?!ZJ zwC**iqQO!fsdr5y#-wod@-K48sabRJYMK=nja<*!qM;E??3cl4NWC`L&T_RTDq@;6X@3_q zsA_GfR~BU0vKIvTNzmtD^e|e2s9$VT0Jm&v4zeZKWH~rk-4<9Cb1D&z#xWJf=5O=r zgN)5W$Ej@e5||FvW))HO%vmAGqAY8_a^d2zaFnv%5-ydmaD<w(QwqL@x`yRey;Zwq(h7 zpj@5vL)JaR!o^z{#_W+3w&gia!5h!>N)_W5fm3$MXyAyW&%K1LGiJ1?R=i}u0!z&$ z{pXRu2w>patAybtH(!q*VJbChG;vxru&EO#+8CFtpzdNZqFaDr-$RdMjRr>jVk3+a zj%#3jtQ#b5^@(2)iLD9ZmD6iNymCj{Go&=3aF!H-5g_;S8z|@veFpO%1#{0h}_nsp%h0 z8`aq*Ot+8zw$rU6b|2dh2;f&x{els|s9$`z*m2DJob#4M@osVRGg|#ZIavO*p3%Su zZ+?iWB95!)?4FQsEWDGcA=}Wv8{hu51S1A?9monRqy1f?K-aWI`Z_8iH;F-}yMt<7 zR}6=_v2Zkt?iHDOSaom~HrqjoO`cQ8*mfxGW8OtOUMO+Esd7K!MpBV9C+&8EQ zW5cRP4LPx(h9OJ&`qm}qXwKh^D3QXp)R%8H#%Z7e>Ngf_;2jP{HMelfq7*~wEy{J@TC9cHoRHNZ>$oRnZN!p( zDa^T?u?TGF_8Y;_|L=vKq;#~p zUZRAiIYr}igss>(@ydy<{RrSV@l*zruswc$=u-@X8RyzrqaH3!{2y!&3Yp2dpW|`8 zj%xb8uk6dE+vxMVwc_d*lmO=8TwspcI%;5}auc-gVG3qQhD5{jBY@Ygd*i!cIG+-? zBWu^aiF1)o`w=*A^eKHKx@q9f%C>*z%H5>zIw;%znXPtHziU#qeWC^MO4V=in!i}< zz*ZuFQ!Z?C85ghn1hxlf7S>k)7k78P=TAKOdTcvcX+;7USO-S^;+(wh@$oQ^0~z6+ z(tlGoVyXGXws2NdQNK8_SI1BN@joDdw+ru3bIyqXHqy7EXUI67uy%?8Er4AjuO9fO z(~m!&kBsn#~qo*55quyo3)F(M5Kc zE88b2Y8XXC!$%%qc{G#$CMHN{(jsQ?j4B#o zb1zi9jh3Kp%l`!G4aw{yFEKhAZ;0j9&;mz`o*I3uu(8h zT(#01tLada>x($r55F7nY*gmQkXw3{4w3QdYwwEUq4V^XGMSHw=E}d3V!7*i@~h=3fm5NJu0Zy z{c^o9T?s3y((ITmWya2s*ODyq^7>dvHqRX_Rp(etuB)~}a?ZnGVAs~T0A|MkrOH@w zjz9f87UBXJj0TPbaFt=kxb)WDCXXRHTZWe(QBUV;GvVd8-l)dhB%y@ML9nR%YA5<` zKVJ1aRabYgju;J$gADE{fFn|VJAnBG5hq^1eQOHwhV852fu5=HFV zAt&|~A=1N>{=2a$Bk6x_-NvJ5q{z&`R2=U)){*ibFP^<@E&Y8iW`1ajOKC99TR(h9NUrN z#@`pgY(o7OuljTBIc4I7w-q=2k3Q9JU*fhORw95?#BDz$1aR@D&tqYn`FD(4#4t7@ z7djh6AuqD0#q1(eP0fJtuoR69HUfC-Q?JTd)}LK}4-p9e_h&V7mBj1)mj(}qt? z{A{pc$v&crdK1bSfyAaxp8;Mj(l5!IZ*4U!ZzYvcgZKs#QXj_)hFJT>(JVJ<(le4M zLeEH|NSO%#6Cl@GZg-mHYTa7#!x2-qxy!BkFhbQdnEEDycI8y#G)a3ebh9C4M!O72 zun_RC1H9g$FPWAKjhqQgs#vuSGAOc)G3*MmuO=_Ju`Y z{B9aJ62OMDfzL#!=hTHdSVMc|_||sX>!+}esUp&IrV#Hg62Ng*ojF0gqjN;cP^awQ z#zOykIHgYiMA=qBKax1o!)xiNe^w`n+gFC;GPogtSFCCKW@6vlv8y0{OgY3@hzHk; zC^;0jC7*%q7){#`s~By3F#S`!_+w&iOkVo?*mgROXBGRkQabi~?oglU?Q#A4{H%gi zYv75)@4&WG9QiL|IFGYx(v@vbl=L;MK>(+S+nyA!{Znyj5l3to!mJmFTWn8?7ya49 zv2QEh@X1~m%<7qV=FQl4iZ^|hc{0xW5*NLZ;_XOW2FEq9Sp;^4drje+a14l5FO+Ak zKr-*tUyh2hB@U;3C3_6ad){!<`*BdESi{8Kufl@qj|~}>UpS#04{WB`10mCG=Akk; zxxmaDVc<#Ib@DYUUzP4?xF9T-wKG@LZI-F!$d*9;eN?c}p){5DqZ|Klyz;7<%oFI$ zLa<@ZIf6#QcZ0kWW}RU0bmk%I)4A5b2IQ{CR-<)Mt%MN3L}cj3R)E3u7QvpY2>G=J zR(=dsWK&ZR>LNR(_BUI#W^gnr9wSkNo{>Zm`iT%S=OH3l2Ne_#x?z?bX{x?BjXIGo z)u>>rh*gm|92~3x!HFKjNmOfQXaw84;1uX_DoCONv}&Y^P(h1-P0(g0*a_rgqo%Hw z0xG>*1vyx|h^r#X;FYeoWXVST5C#YDylmli@74K1a*?*AdkzVhq(i=JAo^QXRfT&7nb~Ljc2;F z>H$Js9Yfc4D}J?ts8_#G$)Xs)=2EOLb?PRKZ9*JwDq(tBsB@H}EiD)U40IIL;T1P* z9JZo?aoRPo0VSM~*3nvLaSMJJ=B0%O?k0fSK?7qA%$=gS4L&wOw6z-@TSfG29%mg> zwUeH_9lGOpLAwTySZTr(=qo)N6|gC!H%}oF!_ZR?ccb{adnW$*}P;w8T~x$RGIQtI@>>IS^VPCIJg zj;po(46oZ@`e~a&x3uSuK9p^r`24Jnga)3xr`MQr>WhG5iAKm=hx1abCn*}j`;juzxdwYlfdBe=t z+4kIlk-u`nOvygYQZNnz$qq88BYa1=UVZRr?mk+Xw?m_#9Q^@cFBqM7UPb_;e$i$` zD%M#lG-)1!28|-kv9v<%;51P|Adj*_eejrsrs#%+l6^d?6QgG&QG}k6MA6U)n!y7D zYf8;HjjaaiU?Em>xk5~uE(f7mxg`iuHUnoztJY+-C_)7+B&x9soL?N=s1E3A(5M+{ zT8#=u(lmyOo0{wnBkxovLRE$~4cv&c2pq^V!c8TSs7^IVcj;zJ)a%0Y>^WgAom{+i zaP%Jss@r)o@hgQ2AcgJC-F#;J6P4+&8y>!g$k^H49!s)R2zkv#J=j6LJb2bG@5rck zS*sDKRm{ebVCgyQay81jU#j`~n9#i+AQI~=)lv-IL2po1WtA9V>02Za3rA0?Km3ab z*1uedBY=Z2wAHIiA{aSMWnL;;I+d?CpXq>f#DY#8)=0o^N}I|!Fn;aj*hYb_`H4|s ze>D3af0}y&jv>P`wh;5pNJh$1tWyIwA}nwx!2&g^>cu7&jc>+Aa0t|}gt-5Khp`Ew zUD%-h==cg2fwAqR+>;IQJ99hj&C~0r)1EEPS~5d=a)aq2T?5DIC+E6g2A0BFMzXAj z2eOlM-_K*u?&1_*cMldOYd?cMZ}g>y8I@ilUPt;rI4LJ3o@6}*u+hCK9rr_ga1lLo zc5DU3OMg$@>UwcLb?+K`Dem|HHo?Tf6UZMI&tv<9Hi3%3*gD2pTinba;|P9?XA?5zbSwr`Y^B~SQW9$fq(U@ zZlUNgHD(c5Sc_84{t&5yFlhb zIpo{S;Mw2>mK#{|Ov!PEeQ9|?#n<2H<4IYyGEZ&#`AbiHa7a|1-t;TCpZu98H~!8w z)9-PSAtE?5{7E_UR&Vg17u*9CYX(J%0Oq$L3%S`q{efS!jSMqczfiTxr@bdw1V%og zPN{<)LzgbuN0G7=Y3K%nvE^UJ6%qF-P~<<72@P2{zJUmq2-24aAcEK~+ouRpY$Xv% z6rpD%QH1sgEC-{(gOPkVnno~!kz*rt&E-0@#cJ4DBV{94CXE-V+>#1tqN=sDGQ_&z z^o15`Q|ZZ8*o%+{T}N464W}K(8EtCIqx#|s!A7*zF{qjeblMK_f!gLkt@`rBFk@`M zrNU@)rRz$$XH@a*n+69S=g|ti`OHBz5Za!4)4Xsu$)%ioHmDZ3DtX;WiY1$lLK{tc zeEji^C$9~-TD_brt7u&*Yf2+4MW>#?98ePZ<*@3PeCgr|D`OAjB%;seDU8BrSU&mG z^M@|Aoj-gODNCvDtB(!i*wRAKB8y2nJNhzyUDUHDFJn^#>&7)*8R}{P4Ypr*C6;l+ z(Wm#YkLHuWEc=2H%s@$3X(1B81~fS~0qWO_HH|kw3@4N@EH*9{@zni~U?cTwNY}s# zx@q8u_B(By_FHtKetU(rkVu|jjeVsjXR_3%0FINMbA9Q#`)e6Yq=$QcnO2;r$0_#% zSU7ijII;Vt>vN}v6Wu}aEq^ufzrO`19W$_=tXKdWl^dteo+9GA6t#^9d%{vJcI|xe z=-!U9wkPLW_r4;$63SLFarnDM7m6e5>`ct09qsnR8$YDHu9>2N<25^Sj?{00_%LV~ zFT#0NzlkP>sTaOm#F#ksPV9N3vHVNF$G#2Q4;}Zy(9b~trGDx0@Yi7ttIN5Ltul-% zCV+3e^EMYfN`XnLexzt4iIt0S66RaIA2@7>e0Z_dbJ=^-cjxpGP(Rh2V-QHx^B|3+nUQ8R``$ghS9k4I zxy15*_ukA~kr9!RkxO;AgnnIh>c)wC&pkIXGdlixazV0k&5y+cUR?9!x8^P@p+$99 z_C(P7QUkjpwgY?1jb>K-r}bxL(T5;ZkX`vI=oL0`5ux`H&~h_DdXusI0X-eUhPK9} z#Judqx=|zX)?Y(6LV}bhwF%SlaM-XK#35FR)l@gRsrRx3O4-_@>3N{*MAu<^YBuZa zdXf+XtM(ew-H1RAN@u2fauXmg>A(gg1u#RfYEiV+EUIl#5?9WZl)jA85&9?6$j*6i z)5{?#qySbk##RTb(Q|3Pfk_+f8{(+)T>GvY_WdRvW^XV5>BW5?rFm%eEj$?{OSo)U z(aOSS1^53;Z*|2E%9IDe6VA zal;{L0V6*hYvarXHW0U{U&Jnr4v`fyUHRQgax-KXh2l7F9(p;Dg=r^X1FGRXcaPZ`dq)@MGp zTNc(&{0;if^HdQShH79<>Xe&3Ij1_L$o8KWx$`nzuHQfh>>zT-j;DbQxiR;S;sruB zA2Y=4GM-5=(F~L8;ZYMaIh2vaSv@>-x}`7w05-+RkA63{7?W5EDS)$@wwOV&3P>$X zM@TwLmTD(Qi(W|1J}Nr@T#{kOleI$`N%ulQwvbHtI$^pR_@SGB9y^HQ<(HAZL(#{d z(|WE39+tpAz-op{Up>)ONM$vW`YlL9hb`z(z;&X2p`-vVSf)M>W!P&0TrdQ3M^*Uc z!jxZyFGV0%+~Q*&`vjYBS6=~w2MdkZTD7~Eep&!;Mihl&HWAOXX~6${{BI$ExmLdS zi{JUlM?QgUmc=V6N2NXFxyLHihUL^99|=3_x{Ej8_YSbmWggr`j*fx*d>bSU2{AgpdQYs}jK7ZlHxI;tFd}PT40TPPmV;t4nXM z?3sdzu!dw$R*_Zm8iLbP*=|0`)v2Bc*IiE!85S6e?a_5+*!43Jws(l6UkPB24_g6w z@)vZr>g5zENT$z80(0$Sm)5@I&iDd*`Pt#IHczdX5LO&JbF0UM9a`=B*`uGtdFcFm zoN&i&RJ8ym7I$bTc6s;+mT3iuTf(%7L_@~si32Z`4!h-0l$N)pIaYETjrYpwAV&7m zltfCV1~DaE*=QblA<##uV!5x~&R;lm&mGt#XkaX$gpK+oX1mu08ICiLWw+x zlO&BS906>K3WrE8qD#fHTLkFmnEHC8Y*4L)i#2eHk^q&hGHTl zAzH2<*o7?#)q+U`aFRj!fKI8PLoRyM^}wi^!%#gu_0^dq%jjV-Q#tutU&-G=iQOO&4 zE}(lGDNVS$tLK+TPs!abY_f~aXe~BDD?obk3;44htfK4bb&we8)erOT_UX|b4wQUj z#9&X+kP`h{w!Af=7A_(+^*8bA*-03yZWpBz)n*S4!fpYXy;z+5=F#UTnjWi0$z8LZ zn&2 z-uj+*U{i2%d*&0LIvWI>%GgXXb`dxQ=j1U??2N*ifqFBA**gijkq4n?Ob*c=1H14{ zQ3IEUsj<0`685S=CaD?mV5f)?!=UwWYQ{Z6FQk+nCb8&8v5=eFQb|3`HQPtO8=FFB zvMYe~_T;2;EeQMY8{dQ7Q;hB{pnSq`P1NrcbuXmWJ2|5%+j5*d{r%XHNG`m1ji%?I zZc~@Onxp_8G_|I<@S7XFB*pWl7^;3J$t0KD^!ncSOAgmg{X$6rTzq75mzf3ii!Bhq zCF6`ua;_A~D?VRc^(Nuc5Zy}ybkB|uPk-!F)J(S33nTGp(7@O)d+=SB5I_7=U-2V< z`6FyH#N#KH?`6b4BR^1@i*m6LI&-1z$SZTpx)O)sR@JVB{({%JY+06v({|RJ1N);j zVSC$F{n^9+L`IXJRz;3ndDk|aHx`52?HC2!#PUC&7rQIoOXye>X(gK5wlDgkhIo^j zO}Le)zTuo^EZE&3RWE96x~B=ta94O%?38&GG^I1FMrkUB%LdYym~uawf4KThZNeCy z)TSou-1Tt4vGT71>4;TyJ;34OxZ$C}Ca6v6msSa28lc+pc2|uMOFQYI0Y)F97_kYY zQ|(P6ow+>?E>FlH0oA=#_vE%~_J6BfJvg}SF4uzt$k9+M(jF!;#-U*OqjLt8Oy67y z`uuuc1%nwSX4|($_Et~#5>p;D2~*I8zl4``%hbcLEuowoZ$jqpeF9bx~J-d6MO=wN_?tJhpb~EFK=wVI1wd^@QB5 z;1KI&FcMS{^fg98K6)<#m^;U*-q-&cI=w53&127Ep(Q%AXYTPW84oes+mMY8i$G;ngpWbiU1$EFxH)Yuf{FkP;d9@Z?K{2y2&cZZUjTX{WfO2i!A?$;nq zNGc)XV$1OG8{dN+o0G5oMQoNb35=if9%$f)Zj@KLhE#4PDPF=DG512*4rNs7n_Bf4 z%S?jw#ZIDrQ~9Jo0~epxlhPMb>emF%U8dPPh}=AZFn{)hT6s(3JSIksMTI#YDRs7~J zdI#6UKm5-`%MqL)lt(`V?hPD63I*I;EgM@wM8dth2H)~ zjHZq#`Fc_4s23$7gMZ(-i`KqFe*>91-};isxzMq%thuiVuI^<;*E-nxvP+deK-a04 zHxm;@>Qc+ycFt1@W)mm66v>1DrW@iJ43mr_Uap0Qd!jcv1X7zY)RWqTDR@{uR{l-Es#$`TxEeR6NFSjLwZ)?v zT|t{{=uk*OJN9>+%hhlpwAyTPSn%s^-99QBjULiz(EYWO;aCl$aP|+BFtuI_8kqjv zdCv~skHJ?$bn|aY;cshXy}3}&{KOr z3E*xho<>odeN%@UU(#+Jeoi!Q70qMTRz-w0(P|6lN>pR?6|1@(+vlz7z0not(1)7K z->^U4Xo$5a-i$?n1a+D-GKdQ6I8|rP=UD53ev@n-laH(x_qUo;dGW69Fhy4V8c@I3 zL1>X|ZUuu@zn~LIX<*Gg2_+2l@c5&6q9=wq#Z9FMJVjFHcK(w}d9u(4J6(oOI`m3u zRElRTUSq-7;(?P>F!f%bm$UR0e}L6wzi(+;OlxU6=PXNK{sC+;6A9qd#M$+vIwV=T z{g<(lU=5RGkgOd_Hi3~S$Tk(Zqy`>ZxlM&70X$gRbLMI#VT&_X11fwX4LstANfDN z;lY3I2y36?G#nSb;t5f)>^hsav(K&gwq3LRS+6qV&3WEz)2{A|R^wWyEgFu9R-%Oi z*4l5zckUD6(S@H{b6&r4>8BSD{Qy(&pB=w|PQwCPh{`ZR4iU>H5|;uj0vLZKp24rB zGX4$s6dfEX`K0<~7ZqSUNM$_6liz-Z zdQzJ()RWrOWSwb`UP3>VKpp<*wIG8zGRQ(H+mxK3(XuzH;bN?0KTbT#qM1XEGfs}! zwtJBV(WtwIc9Rx+rB&*VfLPsDFzHYarEZ^ zLX1Z1zE)X|)eX??h?}|xo7e>DfDFMV{btB)=XhkdX=o2UWuu$_ZhsB=W5LYsEPoRU zv?FY1|F17x`RWQ4R)KD~tnK_+=u^c3nC+|!IXk9HTghMztt&6T=2&v~PS_3Z3hoDS5JePk z+0>wc5x_E5KPdt1$w+jTgmL-s#{Q5iHT8u;)0;74doK1GT_Gv<<-y8H^Iiq%3nqtL0i2Y+ za6PNv6w{qL#WfeeyI+08?0*CVaEgK(H+#z>fc5K{-=jXs84034^{S5ILkZhlBggZO zw;pxays@%xJ8UfnO*Al@h~X3d%<1+fdiWRq;IICvcmHI=MNOdq;=_*T+fMN2({pj% z6V6;*T@*93@xFcGe#_#5-bcRLqG@rB9ow7n{@298gI4p>;y*ol`LADy8{)u^@2HQt z(m1j2$90Oas9gU0lVg=MMde~^(ScR>C3aDytx%$tir3k(FX@^jo0N;aXl~tmiA@Ds z%i|Am7-Gd<%UNc#2UG0Aa8x1QszNV`hx6csD%gU9QNKFjkKnr zm7bQh&{MW@pmz2=&~N97^?)o_kRY*7$(u!JQD zeyX)5zJ^^`I`f-E_t$=MGow_0YqoQOX1Cs}b}2t?xoU}8quwRk#?KbXEPrFQ8h4se zVA)TIeQy)%hpjDUVpkxXr^VvUVhe>>vs&$tXI$KE;oK6QL*<6<1{2YZ+AnJf<*PJA zgm2z47mB+=m|lymsmh8bDDO!Hb%ut&!h{6w6PC zPJYhwb||MvvO~OnwEESeH;ECz=B>Z0H+&k4uAV>rHX127OxP4D^;@xR{guKT2dpNg zel_QVbe>trgi~Ml5k3GU@l_MRgU;UBQ+F8#MJr&8iBhwmfwLD&BasStH7Q>H)laNA zpYlwuhqV&cl+nZ4%#(YEk{zx=67LG&L7iYESv!=IFa25Uo-*|46f72!!yi8Xz2;na z@|C}cEl)B|0maE=85*{Hz%m;6;EQkjY)vV^ zhVbU&#-SH(ryATA9W?OP+h1*jPDh02t*sCL{J;CEANXE|*MwUaenmJ{;Z|es_V8d` z)DQY^nQ6_|t&SB|9WGm)wg@Xe<)sLPz*R3-;Vx4+BKBggl&vdq&e4Iraxz9K^$U)yf6~Wo z*{iw(yUY~8CKlbRZBuDQh3uRlwFxOtY7>Tf`ZjfX-T%`1kp6z8NB8g1ZL?S`a9CVq zfA=lWhHkfhvd*kWqBSs5leQAT+#F^mm+l3pQScg;k!Gp54hke~w4N zS-P03nZwYzaw8ysbrBebMu6NP*OqITcA2RsC#8o+T8qxGj2p$w96)!UYJ8KCZ~?FvCddcT|?%`~i8b zRlt=UjOHq+Us>$U=5u8O1LXy9_C_hbA+jvp|52IA#m((W7!Y#mUFsgB_ct zcYf*sSDrl@!am%)8k`sYs%X#09?EuJ)NX$EEgNq-b=g{PiU8e92S->4<7I36$dmW{ zyWf4^U;65wLigf_8ONn~ddR6SRvWdO4mU!(9@%J4m$I47wY3$6HQ(N`)weM{9Uepd z-eX<*`2K%&V)<{L+5eMXT=S#(757C#A?GUO#no`s=yc1BGDCDlHCkAAUsLLrs8og> z1n`c1fevi;KITfIgtkP>S|Ay$tG+pjhUtLT?MXNSCSUUm7Qg+ZJgH3>>Pc-&e`^D9p^F)6eiYy zaE;|>Wp~TSDgnfuY_O9Co2o6LjZ<%`wuA^+6s8+B*1NBK&P7H`GSiXo(RJcVVDBJ$ zx!pItbF-b}ZD&nSp^&4J`nHGkXOK?D&bICr+z;ibK&bViZ?TQwkaEgC-Tc*)4qLs- zc_ZA9NG3|<@*j7tXk-6xAm`IWz6vvx_&rxL4dFL2KYq5$p6E=xVJ)ttN3iKQAv35QG|U-wRl zKJ-_PAzabDbYd?<=p3hsYnT6&~s^4Pkb7y zSv>uAY?74v#in2(SlI5J48f>h7$ks`yt5~U<>{aYRbOn9jkvEcUY_iKF69~ZzoGHS zW;n*Y$sk$-j~XNy;rgNED)uP(lCw|9B+2UxlX_UpR!;suf1JM~Q9g&g6~J2bf?n7j zkzDP==f9UTD~+j8tb3F5mS>DZQ9O|jxOCG$!j@-3>8mH53QUxY#wNCaWanH`5wiU$ z{0^1AsUSni&N37$dQtm`@GeR>Mut7HxB%5+7x>kjsT{3yJerl!>0KrB$Tb(jH(C> z#39Arb?)tCKhPy_UlV>Md+BA5xN1u)PD3-m+=_e)>s?(LD< zgsz7;17vDy6H=bkri25G?Z?D7$brnuifAaLM=N3NWTjY*7uA}MJ~*;lhO9H|fgEQ; zel%2@MvOdBP<`E&3eaiy%8(3~HEFe~~J-Fd)Jd`neGBUMrkkRtU z^;^|&PUoQKg?<6tH;PiZ`r_YRrx~TNX4|)H_^;A}+14Gx*+AmT*xa?^+J?My?lK!3 zMuPI>5AB&NU**+AdxwIiP3^Z9wSLPw@xZ|kSeL%R#=&7&{LL3Hf5&b6f92^b-*LZK znOFBlMsBu5Em)7ECi1ltQ~8W+OFl~3<+=489*gY@fmES!fT9*rI}C$`OKNP4ZK5UV zDg3|+-OGntvZsVNScls|u|dIDpmn8Fqt&m*XyBv(&Z=K%Q$~&h8irgMxqjj^SdFd; zC)F?H3Si*opcfTj+DYkaDAvHb6f6hJW0EE*gU1lS#sA}{7~^Whz(nH>XCStiGLchp zv;d}|DH9<@D&SCZrx-PeMu*BBt9O+rH%Kv~PLT`qjueOf*fJ2dxHBEFuq%nj(!(%l zqCFA7TKAglr@}}D+X>kV-3y~05Ixbur@t9HEhf~xFzPDGlbU*riHJ}<3h9e2R=)(n zCMk|N2>C_6VN7EX@&B``1@NfqS4JL-hmQPL>>wU~?%S~<+Qt;C-{HmE0VMTpF%Q4+ z{a6uqN~+)S^zB0n@5c_};hi5BXD`wiRRAx&_4l#mIr*8d#HLs}@f#;ke4$ z`0c;=*Z%m=R)jt4`W|9ThP7uM&vV>cPuxnm*x>?OgjApqYTQu}Y|QG!mW2|=3h@Vv zKjR~Pg~yK{H8!0Kst?`er(U_D+Ok$>qN7ae#6G$XX|(R0ViV}&#SNT9*mf=;Vs{+w z2}G699}7gr_8_u@(xy(h<(`q-Jpq6Kj;tmPMK?%-lqa>xHY;R}Nm6}Mn~?Q@Tt0t# zB-@5QC&vLXiO{0mLw3L^Ezdz9QEYj}(EbcrXVwD)om7KEd!5F%dkL$ZhS~PbvDFFe zEu}&=7PljtSdQC{Qs#5*+t>Y%HRnC;vsl>V2*)qVkN&9zU&$U5~hdt~sw%U@pEXLZ&nQzNaA ziS{2_NAD6m$HXSLSGX-Ifrw|KrZ3ua;hJrUkJ`6>Y3EsVX=vA?U{g@V<$%Nj@yQ6= zZFIt>h<1=_&7g_;4bZhp#5(Hzy(r-h&pXJEvTKKEW6@!kD_rN2d`xNZ2N5@k(At<$ zSjYR8fwBdGD6MP;`tZeLIVb=U$}DOSrXoggSDDY$mT9v)c$pM2@hn!&l`W;_O0Q}Jq2y0>_l z{Hwk&ylkNQQ6Vvw5hv$nVhMrd1+`@atS z91@N?a4k5t=Dpy=9tsfEilB9)`Azo~hG=l|Lqokv7QIa>PA!~424C_&gC8fq8Y6&v z$X$9k)1iTz4r+}W6LS6e&IYwm3$4U*IOaTA5J_)O{q|!b z=!QO|pf^+GIw9?^hWnE51UBzR)^L=tj8X!)4_V+HNOu<=rTO1el_gU0vPR0N;H65GzBYxqy}aUGSMD9@UaF8zgW0%{0S^j5FR9e zbsQ8vC#aWi)DL+fYL%1{9;$(XCmP=K;JawZGeQq^FIF>&03MsvseUAeUSFjsm}}Hf znm3vpKf>zZwn)MN!|X;S^C!k%j2l-xB8U1@oyTf8m9Lnr@g!;A^t$rniIly@Ob|?s7aVUT4ktA-Gg1bkV2h>ndurB|zB-rOj z9R&?)uY!_Mq%Tdmeg&@PFLy!|ES(yT!!8>0j_X_Q`#}ibnmSrZ`Z_4G9_VwT46%T?O=#f0K4z0XSg?iH)4&oAKDtiXN}d4j z4o{Hvz|@?L;D{C56hb4b&CSO>XiL45HojFIa5fHnm?7KC z@1+ELAl~8$6fbT$QfG2MvixW(MD59kV5GiU8yQ^nIxE6BDEg2RkZ*lx;C)(p*??afd7n!A`5po2zV#(FqhVbVWlWlws&BgBW}E zrCa_vw#!Q2CtY0yaXXZy#UH_T=?UPG^ldSt9`LmK<<55Z2eErZ@y)t)=$EjDhwl7E z>@^`NfcHq^79iCx_qSdJc)BF-A;mYxgrJ16V2Z#qj#ahf2RApl=vF(a$#SJDol&$M z#5Kom*zzN<79EdWp5^@Q|MFcw_oqJ?TNVO%e`O|!f*H3~wQS$^UAkCKv%Trt1VbJZ z4;(ga6tHj~J@S9wxbr2!)`M>x{84szajhO5T616KKRz}=eT7fAO#>0jA3ts&?K;+4 zHQKi=%eIDYPqZjj{^hb;>RXNFsSkMuG;k%jWz+vOx{*!#P)Xi#F3|2F$0kT^LdqjI znHL#DLm@r=l=2XSKN|GyAYrDV0~QYlgg*xDcj8L#-M@z2u|2XLDDQ+^|5Thda<LuN8>+wmu0KO}7tyc@r2qV-@4f7H?w?avepXaj{;Jl2 z-{Y1=UQp?NL`ocI|oiN@2>hq(2A%dg6f4^X=`}83aeif zt?9%(zWKYWeu2|M76dRPH83fWfKk85UQP-$3`PJ~9h;L(OZ8{dU^aJpVL3`zG_WS6 zgbM_)!F(DP$WuUqqyPpE8g^PF&+TQ{)APR}H>-lFll@J%Tn%hU4jF_AH3?-Vg4A4P zMx}uDH|&HA%Bolorv-2UgC>|3xd-Lpga#f@*-l7q zRwFUA4m^gq1#XT(xv>jdz@UMKp%Df#G_q#w_SA^3d`0tuS_ygIF?!U&YBQ zKZb?kGkbYT9{eOJ^*g!ro#db`-S=za{2C3zH4(rpVd>cJamc;|`YJG80bFp$V6oV} zBc*}sw#5~yzOqEKYG`NyOuK5iRogn~`CJoMgv0Q^{vW^NUw_YkrSvrCR%SiVLHEk* zT~T#wzU72mn#YlYW=7hnxv(B(EC=~a+kI~TM=ttLQ|Z;>wi3;4DIuzKpoO0{pr5h2 zj~iE!vJ`A-v=g6w#V@FVpe<4mWhmPekg<9bx#%&Gds=Nu2-W)>EZMoAlqa>6~rd9NXKW zMa{Wm3+JgT-+a&hU)8%_TK<-|$IGFxw-0{wjZ5EnZ*-m{ZFCn8K?=MUa+hBG#_E;N zG4)=od>Y60A}MZ}FbJa{iaH3+And*cg>GnFWG%W8Rg6{6m}i`@TGkS-b?`NzpeF*D zhDIu3CHeFliD_sB7|3XHtR`3eYK#U(^!8@QbTNfr;KAJCN5%JTseX0OR1sJQG3pnz z0M_V}mBuLHH$?lcm+z-h04vJA5E}MW02{LB{JlQa=aNk$muzm?f$uN^KDjN9|vR+?Z3xB$?8~X#s5dT^$B3{^8}ncJjGzqjB{alu|L} zk6W4e#nA>uC>{la1|}IAWDq9!*b&AOx4WEt^{--6EG_(9F+ZCXx5bRTgaY~szhv7} zznaN4usQxENl9NQqki||$QZ6p`M1mxi~znS>KFD-0GC<*bV0;oL2F=&z!b}N;<#qn zZ7x0)_-y%3UMYYP$#u(XxLnJ+GY%D6@%{huy&wEPf169#y6e`Q8e*8P9@p`)EcJ5z z=x{B}<+^OhDX1IU>_IJ9&L!u-*4*!0sC-&!Ija)SZa8P?LmOSu6TrmdmuvqT4XDI3 zk<~;|Sg~6T53Kl4;{^I(<=u)NFSb_du(zL3N4z=aHX<2$XR)NZL;b18m=LS>Y*SPYN0)_q{-|TsoVaC2 z?I=bR+ZS*8#KPu?*qaE9By~dO@`&R{-ne*@MVk4@pcy2ui*@(0gGhB5=uz~La$^o9J85wo)4wZ#&GufiboYOj=i$MeeBn=HuNuXNNi(g3!(3yBVk|aA z`DnSrljMdP*-r!e|0sPE*&WuCxR{ZII@pb?GeIKqle#5Q!?o1GF`?l0$ z$Xv&wB+SKch%TnUj4(zW(6IUM&GMc;@Zp0i-|^zX_v`Ae7uPD$!m4}~v;?7x?SUSa zF>T>hqq(MYg*Ki_G`r!RqD?;1?9y#lBGj^cfTMn;6)yGOZB=L1eb_`d26p#~xrf}9 zAhihtJ!FE4kbiSXIa8Z#&J8tt3ZmnT`_ zr9U%=Am#p6J-b*v99(HJg3U-!u5Zd_ES_+0I6m`iuzA~At9DOxsTyv!Ess8^UxyNG z+*x-Ps*${-u3L?Dt9mHB;<6b>JNBH_I)nNRqA)3d_uX_N;F7MF6i1V2q1v?hm7DRI zm5(rlwnnd1sN9uOTC;-@Uk*k}UNE@HJ7tFsS@na9byj zNvd0N*Lb7?4kCA=Dp$9?tIVpf8;-rDUP_7d_0^^Pyf3d`YqDk=H!P&1O{KSCdq->Y z%dJ)4a`#oL6?f)q|K0;1{n1ajBI3H1!qJRB8%7<^_CwKfQMFt{%C`}6acZM|E#cZ| z5qV{d&xwWe$l;&X0vL^Iioj0nyTYfTkjbF#7>C#ktWB4EWgk+i^xnQJ`}F9xRe_h~ zcvyl{3U;IVmV1^>-A8vIW+S*^!+VLg_V+W?liFmP(s%d3_DJ{1^&}*gM5kv^GMMV3 zL&9uABm&xaXai3;wCoKUioQ^atOxeO3Az4UCuIHFgH0Vqz?nRRnuYrzrinf>0*<+c;4#~70bbBE z_=`kf)39*nPS3VFQ5?jg!jo0pqtVG>fK9@Q0;@G}v;Wfcj{Dz&-I3!Y-Bc8>J@y1v zlhwee->T&`Rq{pyYX&J{b@U~(oSe9;*hp&*qvYN%ekWGbb(JIcOgjmwGkih(RL=qm zf+Q&!&_pwrNx1_}w_( zAS=KH!(i#oU&ZbbW9Z&ND}myLl%YV`4rOxbn_b%2E6Ro{!>H4hk-iTd{}t@0k;mh6 zRQejs$-N|F+{)L#`ZZsDbWJeo7p|HBPTh_Vzy5cyDFK}7Q=XCT+hflHLnoS1m!!V1 zeW@5_u?VV;v(I(7(w(>cIrL-96)ig1TkvZQZ+54%ab@luH#VP-!tF2n%kO&ZUq0nn zF?C?7zDQjD|7zQfO1!DBA6?sy?3yE@XBx3+{LjtHKk#6D8nF;M-t!CpebYI&*m~Q# z_dIfL+rG$HhJbvj^{%?}(1%X!1@;CFJQQz{(=B_Mb^^IeqXeYfM2BOo*sTXQult{+ z$)?&XA^0T0&=;MhAd3Qt!CzYGgt65O9SOpq&P&YaIZn#|- z&>b?{Ir7xzSH5NT!>SxD`!8O3yCwHVF)~xcr;q&p!3$saoV9=9@CRPM@O^KN*ZjEE z7Vfk5;yZ&^DFV~y+=-9vEdQAqt21ZG?zSJD^8P`{e& z$$b!mZs-EOpni+lBlSD#ErkG{MEy>3mmX=N6sdXj%bt_Cf~!}qXJzeof5i^eMRZL# zp%4c>j|)YvS*wn<;8lIgTdeMLEGvrImR*bG3!ZJ?n>qIGTVH#kwJ%(@?TR045bT0? zoa)xm@T_02aq)dATHKuZ=(=|rnRmmL@49SNXZ%;{p}SgreaCynj;kB)8-6^yX`f?i z1u3D&(REc6Ad(OD>x-HfHMX3yT%)rKoVZdA_pkWR(4S3>61TgX2w*Grh|{)L*-XG? zudJXd0leE^k=lfmC$$N?9@dEEZ%+dov_DDL(;EV&!9wIR5&s+k8X9tzR(?!0w~uUa zG}-IBKVis(TC zxTk()9Qw#VX?A@&ONP+X_z|U8Kmd28uiPtku6)Iv$2;3+)@U=Z#dA%OICuDWY8U?G zws2e#&arL9ug9}@*q0gOSuKXe+^c!mSz$;V5wAwGi_R7K0%n_E)NI6q1Wclcd?SE) z0AiEXU?3sDi5D?U8!Xj$ZRL0Q6Y@{tp1T#>?CDqr=+C=?4W)#U!82BcO^>@GF^EHl zv&U)h3>vj-8XC?ds9*Fqg<_sfYek(vh3&|(-HEsC&&qt_e%Gzn>?F zX^h16BYgq&JBIXyy~uEE>8mLzfCt@|2J!I9_lXPJGz`~V`a(+m!sn;}9`)uZ0QHOg zJP^Q!q!MWA}77?;`Vv8DKL=sF z=IDVM*+C;;`5f0G5fY>+C8C6ZrVn~DvYIp!ba(YgZ9>YE+LTal#6nL4=k!TB^`hk8 z1S(GgNozE)-elF%vOdPdUgoo}pf6O9TqorE_reK7{iadOpl&(-S^0L{Q#7C+EFwp> z9#+Wyfwilb(6LyB+y&efu?f2VB$gVLdQ-91z!aiU;56Xnm9M{T;kWj$yk*}_Gi$GJ z&?ON5IWe~=wm)eddtOuz#+|#Z?YpeYQrOCQuxMVw@<%E&T39AK7cCxkFUle?VpVoP z@zRvL)XSmij8nGo&`IXTKk4Wqzf+h$3ie)l_9Yq}5qH$bU(wdXVKeG@s8&?CI%#mX zW8ju5U3j+DKK>fgmo_H^tfmu(Z4u6&yLCr?$TRZ`q_QKw49VG!LPj~l-d~x!+*)ta zkpvjRpC0Q`a;uA0zt9o^L1<{S05-3J))Z)94N4ddOamT#<(*hfN&rKy1}aAGyty=5T6cmq=q2b-ce zGDC_x0DZ6p=m=95z|)nuDRjn$J=MKgeQOSADve^ys1zdw8JqFMZIY9({17(DpcN&# zI;#VuFLn&|yGI#LsD3rY8o12yPjMX6Czpt`bIXDwKVJ)(`SmoCiHia>)6S-mJ9eQ(h z>)CZY9m_crEu0qBFSgHlA_!yWf9?ONqZfZHSolx&)>n$y+nE11j_@7is}gv$>z$jn zyjM4zH~5cFziStetjNMzw7Ag~w_JM5%WE_())qcOg$NXi-Kf6iy-XWF-E@Z@7Jl_* zzZco)A>-heRw`su9G3omQl8W%0gJ(Tk3Be|CiHu>p8r>7xFEEwI}EBR`YV`(!N zHp^9W!btvTPM0Zp4`I8V0t17^| zAb=aqdu?Y;ScpwWYFHuWSMED~@WXdl-}qwuq2rgn;>^L19KZBsOcF~~=?c3R#&(S- zWvn>l?rl+RrP@ygTSi6-^Dm68KL~I7a`&~^YE$wJby5~unnw*{o_Qro0Fx4idk#i) z)E^4MmtT23h@+jJ$n?l8jvdp2r zPXL2{A@q~CJ%&9Y1B)A9c`J5u4Gfcp?hFIpxFb}z_HZYbkv;D?TW0IL}~ zuUYcYo?to;qD+vIEZsh-xYbqM@+l2n9h8qYA)lAh*Dy!}m$?a2T%YO}QUdtes$Vby z_@P_>8TMK+h5(-8UAlOp0&LOu9yUmY(n(g;738gcbu|bYwzJ>!_PO4iQ=4{O>Z$n)eDS7bhBz3NXr{5*<8W`Ir;S3s7KhJv^}ETv+Jb zx8gmCNB)N>f29Ri)Ue=16~v(%`*yT%O?@R2F|j}pEStXk;@`8h)o^}xvDJ4Yp(>~J zDrnesW~b}P;9V!r0$}k(N*eW$9moo+(MhU?l)f~2>R0ycC+mR;osjDte@}<9#t^@ZA;O-C(wejOp%9g*ma8IVRNsmZ%>r&gk&vrJ1 z`X;2!)i2!_H>)BD>gOuk2hSY(ZJdUqm;N|1mb(Y~R=)_@vE{wjVOsJeNyM=9$LdJ| zXEmCgch*R+Th5K0$PQ$#a<7C75M(f*f!9vG!4BH|5~34@TFX(DZAXlX5x1ycJQ~Mx zHdIL#>C4kwb+;0R!G?SvvYOEr4|nfB?phr-3yqw>^c0)1qx& z9j(y^Z4gVhzJi65uiT1Fkviq3&}U#M?v}(1nUIn*mY(fCpm>7J6CSE6xmTJii23<+aEmn?4O`jKsLmnSSr=OlL!xQCV~x#!gGz7Q;l?GU&vLDIaY@#+JUYM@dds{X(t)E^{lS z_=5T^iBZ3Btpu>Xb1t3ujgizZ=z&vV=v+x27Qgh670x!**F1OZZ5j!3qVb#I z+B!A4y9PP=NumGG&H6PX`Mb_)I46ar0wsx6boZiuvBuBcCaG)_$o||kSU|xhy2)KL zJ9oy;Hlx+s1=tmfm3Ifi-Z}JZ>@^&^^tF$QBexv<_uH4g?I|($C&cR=;XWn~z17-S zv^M21J3yrpfj~%ihT!DxrSz~JMNY^g$l2byu#5<&ri#jSZ98CxZHGLbMW|neOiozF zSM2ImuthgI6OD#v%T?&Bo1_4)IUaiTa(jo!XuwV!b`Od|X<#Y-X~RCpZN>8CAq1t2 ztP8>#GOt-x=0>J=MChM8;&B48?3!0!ZLV)d9rf{A-PmoFhfHDs$YVHIseg87B_)92 z*8AUr{(s?9kI&iOXPc=vsa5y7e;%U>X{ugiC5*O|B9yN%^xqXK(D}Om{{u zlZI+wLvFy_e9HICk~=m_Hd=OIst+Afo=e~q~d5sji!T)I?AAj zr`Y`(BtvdL7<=NY#-Pj%WtL!qWILN(gUAjs3>|BD`0RVJ#bjTZY#2IGL6TX9MuU+8 zPQo7r>1*KRZZvS2TOrFA)Ngr=0N!i$TYNu6{bI8MxOh}9jKXFq4wW61?4RA6iymS+ z+x#kY1M73u``OnvI;{gjXVqLaZ}hnm*OE;vGO`R zi0q2(S7(p7PoHZnANYH8KD_*6H;T0<4*ZlC*Fw>8WO&qq><Pgd>T)sIlt4!e0D{ z)gAi^MPM3iQUTs{-{Akjo(5)mE>2||y@60fB-22sAfAbg_SkS=XRqui`?y&8V3h!t zP7QIe{MCK5yhH#`@G59XIpt<=B9f5_OGg^%VJ|r-xhpp{c(5Ak+Xxs|`uXxLN093T z?bo&pgL>xr`<%6Ko+oa!2DaqOtvfU{9BM4b9Lr8KEFo?+at}8jR>Pf8^^tx9x^cF3 z>qg}j+IrV)>-LSxOEln_?Z5Em`Y+5~`uZK=cMkqK9{19poD;2Q#KJy*WnbH$6KhXd z2W-oGyK_$PED{eno`0ere<=%F!ik;D=GllEYO}%asC^lYOhMMQ{Z`mS+VNafCu&=< zjqD7fm}7nX4hVjoo+nJBstPtQx7-^OLzaUxDa1g(iiql z{c802eb5c@@XGf+BtHR2l3nA{fwYjDuKHcN@7Erh`=>NgWHU*zN9uRbQG($q9s3|w zv!?=hcqVxQxPXFHmt<&4NmA1d9h>ZL3-Pf>9-X(HP9zSw9);cgu7~2a;%J`Q4_C65 zb-20^#R27_nm-dewFVcxR>g|jmR*f)_neqJ99^UW?7Pvac<#!AbkH?VLA5R80A1VDyR8U)|M(Sx|j|IZ!p0(w`9TqmUc`lGOD zfPQ;z3MGK$DEZq1eT#}i)6(X4!D^_K;v`Etu$iK={nnlOr)g+vJNJq9;WMp6%Q&LKi7QoaaV45vaIbJYNKRo#mbKly z)Drt0B%4^a)@$7Glv^84JrvfFHj7&D>+Lt+ zL>sgK1~jmv(sE*+1+!jrWwZhrJY(CdO7nvez{zg|HgM|>J-ZsNi4unL85T3ijQSdh zMv_SaYRX5*GAQO3FeDG}wLM%p72xZKrMq@7OyT77eHE?bK^(-;gKL6hdzxKila09- zl*x0XOr{=iLdGuq?p=~8=?ha=zZ%Z(Lto^RT4q-I!Wine%-tY`$wZGen}(G7h4KPe z$DEv;ta<{tjQV}J^UoggMn0Ii6y!f!wuAJq*XPOqpmma_=_&0 zQ8eTWo@GgA!L95=^hSYW+Y7Y=aoF-5ui{ibMm}5$CbthXT#$ZIk%jPOy|dSEdR%;U#!%J2w;RLI(E}J z!!>awn%Q55h0`c&3|l>qkI)~XxV80$s#P4z}!jThIjAS3q);N6n4f@rK) z)?nNA8rum-EXBwky*e69f$eEv>Cq^^+#~^x4-KF#t=uI+aapmeKId8X>?iAitLB7} z{AHZU&15^~w@1>SB-fwg)Ncc(p*3)x0LCS6tju7Wq9uZjRuUzEk&-gWj0WcJZ3k*1 z&HDP+&dvQc4R-OXIdk#54^UneR^!mGvguy>6R(Qdo5iXx+Mg1KzgWCziQrXnVovPb z;P0R?nIq;oDjD2bZ*4?8e8dJ%9P#i9;uU+Nj&_wx4g=O85`*G_Ya3n1=GhbIFbQ1yUpr@>M=!Lj>^NWtI}R1*CK@B(usT zH`PI-vYiL@Op+Z{o~66~1^*S$Fr0kpuVP1K>UmB*;Dn4VePN1urVh))&wP#iU$w^` zNnc>z;!k?Wt}&~A%Sc})!j^}5%M76#)-Y84mWi2*nP_MP)6=kYZ1+T@1uzo##FwZru8@ z*TdEN?7!aL_;c>uzhD+v2=1`r$`$Wv{&z#N;sNw6I++GC&yA~;I=7s2Y|{3lS@kMt zNlyUFi&}Iq5)rjb3AbrqrcEQTx7ejX61dcUX`vzM+>)hTkYhm$VEkAO-D<({4PRc+ z_vm)+k=oRxoT*LV*@ayvv*77z;4Y+!1y+Fty6HYNI3_*m3%j9uWIb?gosjF#bwbv! zJ=!MG_t>molk3lQYOLAz@xa;AmDHpFw)#IBx<7=JloV-^ZEPgqX%xoD3=wtMo(7hq zQNLIXel#Zyz02^wR{z3{?VUx@o;&obFQA0uX3Y*>5{-Ms%0*G%f9OAni{H`|;c;={ z1#$eY`1zLAsJXMQ-HI)--MmNyTS{VPGr5(F@n zVGa?!2*?j-5>7817|Oq`7}d@BC!%z`bL_4g^ydF8d1t>)w&buFJ&F z?iz`u+y6PX411@0q5LYQ9&mE5ru1F9`^Qc`_akKvf|9-(dHn$e>UZg$UobCGPEsbl zWHOxJb2V@_&J@*eHh7ZUAt_Q3hN@o}8a5R(%cv2O>Noqho?H*{PxiQA3XZVqx&6seR+#ty`j3;3Ok#@wr5KhqPOa}^Io;)_;t66?yXT; zq9SCw)Py&kMdDRN%ZcNb<(;?oJ#Wo$QGVpW-wM{>FZR7pUIeT6=mxtVD#KMP*Xi;i z6Zz-rhH$;OMgsx7ZC^y4GO%T@;L#8S3n*JEx--cZ2I-OYK(3QpJLXS6 zxjiRzYI1j#cLE7_abg?MMWc{GafbM3+c$>xrq;TklyG-fzf&W#Lj5YsZseoxBZuI@ z0)7Or?nWD%g4FyL#Cp^^B!bO0TTvU)yWL*nDKHvL zgaD={>{w11hv;6EDofxx(Xp*oD2u-b@3cNbAi2b&aqWYf8y$^pM-${?;>}d4|TUSKH|9e0+PAwjovZO`%T^MgXUZ z#vHxWNwt9Fsn~F>lrZGxGX`ZQ)z?VHGY*YYJp2n>6Oy;uUfe(f-vgXG*|fxM0a@Lf zC3#RvlDnKSC@*dYF`nqvlv(&lD=iZcMv6HhQ=~y*v87`BzT?h#v+^5{ zyjk0>IKkSEv#(}xRqMGzel~2=s&*{5X;rRNE^J4)9Bll@W&czBUxs`|@hY)rF$*Ax zv4}li)F`!~_YkGq_A*X-q7^IgETv#m_|;Wnh$$s;c^Xxa%8b%4lXl};V3`WAh9WbS zVT!13YggPdJ;V87Y8{z_AdAU80W5bVNNs9T&eW!+>r~T$v?L>KSM*Ac8~aKTv5UH* zOR<-N7@`Yn#rC!X(M6w=5nD(QM~Z#3N7l z#8_5X+h7{*U8G?vb?WhWlp;=MxMSVyY_E2LW)!u9sMU_B<4W{Ppn*~?nagLl)PQCf zAb@FmEI5waF&*Sr^#<}))6x%mB>p4_U|)Tpv?BIxui|(-r>47kw!7YGw&R!zFq;ri z3RXF6-0)IUJ~RYXVyvN}YQG0g-;Gvh_zX{)LCnBdmx58kSlHoLso%95&dq0`&{I0S(2Gp?ElCBa9({vq47fKPm0ZKRM@l zbGA3*cne;Q+OGw1-0)|^s5$4&MYiKaJF!)B9QP&r(2eoxC2RIbyw$Y4O{@B{HM6+* zPtmk%?(2j_0P{Z@%9b{;sda@P*ATJPZ)saGYW5<3X`@d4xNbJVi)&a7S`lGv3AYyR zUvpnkn_g%&+0}!&r23^rx!8~9*S(i%BX6(<(!-*D$)Rnp)9$A`b%N16N%adlABx*p z4Z0T#ETLEIP0}5hAnSo^>x7Z~xlYi2ZM*aYaMG#or;v0Sa-Ev=E(EZUujZw8DD^5@ z7mJ@w?k8Ao7L=q^x$3^E;hxD58eR0$D0oo6+=1OyHt<%%86AzVA$ukG2`!y@$i48f zi{Ja6L;p@>IJ5j`Zwc2}{A{#oN9_oS7`Lq$`73ja)Q4A5Qw`%FsIQ~9efc~~g!ZOi zMO5gsBie0oC>F2U>x*Q#)!7c?n1K|2DgV-ex~}}@0Cqu%zN9#+d6ibsK>(wT1C=kK z(0i0#+c8e`r1B0H!1Sl#%8!qh$A@zSPpF}a8(t-dqOG8X%-#-A=3+HMWs?R?bgYgi zF5LKRJML77q||R!cugte#jL2!ym7Nn4VW7Rog9YSVaK;!1h9Sq^mg2-THfl3)7)xD zt$9y4tCeu}YK<=>rKhLYlTB+4u=bwnl?w=hM0TV@I6NiDXRGEUL+xDp)MNvT8vwg0T?WnWm9@r;ZFS^HCv3N^(_T$HX>YfWf@;lbidl&yNJNDgZ zzla(aYrpHnKC^%q*O0zQ1=&{D<)~j>_}w4eb=iGE30L`YXw)w{1V36A%YTTdL^@O8 z>a{C=G`sG;hR&pa%!PhDw{2e{0=pU!D}d!aikh$#V_mv;PL8i*N8YBV zZ_v0N%*Q-k#FdRK1*;&6JOR9$9ugdj7RM$b!s+GjK5^g!iZYgW`o1h%be ztzmaIcSIbW_KqKKzZymeOmwdm%&a@Y4w0xaPh25zE3Ba@)|wPeMbr{4Z{=WHzG#CK zr2w{hKFM-eHP>Q1)EN@;P>)4B>`*~PC(~1xTS0Y`Nj9bM=5If-s3;*l=hoOlmbb#7 z;<#kCSgGxVovkpM@oLRr$8oBw?G5U^L~|?)X{|MIO9j7p<5P2%i~vYqSGa))ur+JW z7v9FHYDa#)7f&(B&In+t$5g6mU%K&hL%3Q$LraP0`O_z`kkr5h>Q_SuIaonW7;Bii z05*JnDB&c@Ps5sSGUcN|nSfs)mywS1RIsuF7)om3hYqIePMSTs2b4KF*{MsuXnBg_ zHbt4V$0obp0?K4EQoyO83%@5<{sA^g$!xRTaAK2W`;;Liec@WEUkw`AoZmIs!Y^FU z>K9T1xJ=kF$YzpaX|Vc*p;--$KWc80ze@B*xWe0Xi z9V^_HwT_1do9wBCi|gJiG;k)6o5*ZL3k`bqmw)Bne)2tf2^=D<-0FuVBlT%$NSL%$ zL$0)A>#OkeC`Hzp^}wi3tWH(KW|s0!Fn$^)GVNT-_|xrP1vm+QGnWD9AO`K~^Urh+ zS+R#0rmc6)1P2g`y4Z`JM1pF+t3Lu-`L+6GFJ{~6-dz=RCg#CS?@~3KrQIt6vm&=+ z%lE-57}!NiU&yN8k1hYXcOCepGt1xoX0cMWI@`isu(r6@MV9Q^R*$TT)oyJEh+R8a zQx8l8D(W=~YFi=l8NW3j@bDDdt+?4Cf0o8xb%(SsAH;$c+bCZeh*jHm5Yn=;D(k>f z38O7rkraajMEaQ`aAFY(^s~hi2XgOze`fZM6CDwsefoLSI6Wz*QwMfzuj zi>jQoyM&iS*4S zyM(brrPS}WmA;^tmORCO9;ja^6S;uwq$Md3z(Z3SI_Ve@_F4ezP-b&%bR2^Y==Oi$ zbCP6#TZm^r_Nj(r-|Wv;Y_}OTadIK+34776)oiEXP(g}joeG!9Tntyaj%5@|*m8WE zj#Q1jKRo`g7^R@N>W8vWW@(k^$93A2K&#RGx_cVWd~j=MHJ!M&>b^=Je?+1(^1X6yx*PBaM?zcg|R2irq=$O{|WUNpPyUeL}ADQ9X^GoDko zNm4gbly?Gteo~AS8M1!k={EWWaM!6OG8ku;F?Jb$w^0ukbotjL(G|cn4+Yx5L&}&_T57LUA)fR7dD)`m>1u=0pHI_&XxvL~-n%JKHihm7Ic8 z1k;38?91Dc)mrC1`vT9MQD4T-_^zUkyKg*Z*OkupCTU7RE5lSc-kd#1D;CY0URSkT z+gHxE2xzolKSmp>!bJdYt5h`?Z+^X|dZK}o0vLJxc)Ts@Gxt4pl+6@bCCn*?S+o4V z>I7Rr@&ucUK1G+|^FRqFFOc?{tG~KGB8+rkCuFz)9-7VG-LylehP|78Y|5lPHlwb$ zOfsX!oSdmk-zk!kzHptWUohwQtoj{8`htmEz<6<{rGB%KC#OLD!qBXSrj&{>v_I^T z08UXRlN7}{Bm<^;R@5b3L@U8#k9^{==N@+0Nv#Hn%f+X!zZRtv&vw4eoW58VN&BR}?;26(7k zA#26GS1&ut+NfXpXs1HX zF4@p4N!26|Sl{qk{d|Ysg(?HWAS@CJ?sIl&!rjcS+ ze$R-3U3BBKo;tA1a&@SS%a{*N0MzO`}q*JjUuO(pI)zSk7)yx8LL*0w1BRtV?Y zor}@zL3`D%8{FQx&pYzeJ|gE&0>_Wr_PpH%sTa%Hg%32 z2_3fQ$8P)&1W_=Q%U>=XY1vo=FiN!& z%~S7Xvxn@aKrp-fak^Jm1tkn zUg^nNcF0}wZx5+q)Fv7xvYNV`A>~YMO7zl5wWopm`ryZd=%q~^o7^HR!nW)UJQ@1N zW;QjGJNbc_-yYhNBG(B?ziFEW42=xAew_TIQ35y_(?I83Kd>v8L4HW?O18yZ`<_J$R=@0`49lM^N%i~K#qW9G(7&g#eBs*;9RAPZ z!h0*Cb6U*4Il9=kyi?Y```k-X=?d%hX#Y`b^P;F8vNm3g7jCncae5XtUPL=R;_a2o zp@@Sp45`{`#mFb&7&^n!=}VI1-V z8-$TS=LS*tL$EfKz4@K@VLi&mGBJ77>Jd+VNdZkXoIox5na7`?p+QNvkkdLZ1p*kW zNh;x#2G-;%VJ(9_66Q}o`WC$kf4} z;CE>ZWu%G5V37LF<~%mJODGdRC4FH+^_$FOq=ANH1daa4tN8aWCN=QqrTT?z1#QyWse9uD{Q( zSLGXkL(ldaUR}iD>B_AK;%zr>RiYgyY*K4#`-e{*{^ixp@ACHjByj)%Od*#B5){qp z2zSR`!Kq&wjlYLfzg&FNqD^Q1pkrxMp51mXs7=IhW6Qaq6$rcdWt5VavW=9LHkKbK z?M2mf?-ZK`tyB}peE8$V6qd4||11Oclkd@~0`nqnX(V6@nGzs+*~=zLI#I3n{H2Af>C!(zar6%{xzf0K211hV@`Rw7Jhqk^-3fLvNGPt&FdE;o^5K3-5~${oD4% zKjk0#FKF-m=fC=*)wnTSRxuH+=)gF#9J^MJV#>MB<6{4P)@4rwp4B1+`QCjSE$9fV z!~V_7SE%Q9;vkL?mvKk1D;A5FTOw$R4hoh@K|f3?f`^sU5n*-Z5cguo@i$uAov4HQ z4b_` zcH}K@q9GS%u{IH?=K}Qc(gN5(qLtXHMeep8HbsQcr$0RV$xr!~gZh2*J0DNhKWp-iZ;6UdScWnT826u{R+{T2^T3EbqEnE#C8-Pa9!7O=D1*WJx|z2 z{rcg?eh2v*wmsLcxQ#e$T29RtvBL$W#hpHMEssmqr*8O<{I~Va^MCH+M}Of+>m8T; zCy})XKb-14z+9JC*4@*N`V^=X^rRb|wW`!5UpuaBJ7?6U>&CT~y`rztbss=ZW7*3k zJDQf^sLd_+JkB27o}fnY(?#}IqXJvLZMes72SsXA({-wVAT3k(>cG(TNGm0})WBBk zHk}pP{m7mPQk$CTI`t;j$OHqU$h(^lQxl= z9l`7JAlY=9%V*9KKM+*2DYu5yOVRUN2hLE7jbu-rtnzu53hmq$ZCCg+)%;97Zx1s<6%pCJx4JjU=c;i@W;AR+ZvDt&^00G>N<3+b}$KCI^;%}0c zN)Nd!ANd+RMhjp`PyKfIt3OKE6D+l)%cW^OGyJoAZ9(FQGm zp=!G?op>=@1WuAF2xm1g0vM~|+>6ap{)9XzLN0^C_KD$XE8#(tj>L5$fDOq7ehx8} z+v4hwJ;EiEPYYlZ$c#6hL71LXB&BZ$F}Cg*vC4C{QeoKbRO(VtFp^W;~XbpTd)o+Am(_K5x^tC5=@dt03Y=lmxG-}$7{x7#^S#qaaVnT4)cDsV)-@K-w3uV zmS;O&5H#zRgA`y~rxv#_drqb4%vMFm7m*vszU#eeA3cBQA6KGT%C@uNu?^?kLU5~k z{WEb9HXgPgOKD(HiDm+;g>FOuZ#n1nE1d0E>fs${xu-d01k+YXjZNKHe=c;7WCu37 zm%kdy%UDqF7G_jmXT@-jiK*qTbSTIl3)=6-b&{u%pxZM+)&nJ-Sq}_y@&hryJ+vpw zAb)q&fJW^J!c=DyKjk;z=O@dqli*ktf7e->f+5*m+M{hQx#eh0!6>T72V*OYk)28d zYXNLgpY6S@8j=DSR+qos6VcQ0>^B^|vVNhnefYlz7ry1z`0|cbZ3<^Tyn+yQYW4NF z-WKkhXuS|G++nYTJa()m4@sVxQV$I`w2`k=hzV)6t*B*3Ea1xNH)P#bL7b3!EsFQh zSx0_nlDu8pDl5vup47uQBb4yP_?$R*n3}D_Q(um}OE<$d8kiB=QLxXgHbdHsj5-vV zl`=FMm~c%I+J(olelmEO z{)XhhK@3&DP-fCHbB)1B>bDH3wTzMW(hdKOi(VR|3g9v38p_xLxO}P$wsYLC9(4VP zVsC^aXt)*XHj7@h=6F@7;@fUJY;h4>wLQn?;xF>#@v#>CnbVcqR)u$0c)luHFS+_G2Q zu5y+`Kwanql^t2mbtB)4>znQyc5%)!G^* zbQ7E8BR?vs`^xA3_xyh=q-Z`~}g2h6vUpuvxAPWb)O&91kXjk&iV4!~=1jm`D zIW?w#Y8Ka`osSg%Ua#i*uyj7yN#cRlb9{QxEx)UTn& zZx4n5YkTVPCsxCdwgCZ5@p*@;G)F^Gx2@= z>xV&igR99Thc20aS^$@cn;f5|Wbw9uhYtKS){vd9Op;S4n?^}WW=s4sJ?VxWM41Rs z9At7n=cF%W)o;mAB~vXEEjLuI`qj1HzMDHa2u?7Th(*wuB$!^ zT7C{z97nGFkek5f;#Da>3c*}(dzNk2Zky?xbKDwLtuy|KTcQgu**9z+{rlXgVi)Gn zo7y5LBn;?VuC~#QwA=O?7Er%fz>i*)pKjGF)#Aa@?uC{3QQZ}OedmEoGr!4Z zkKGQcGe2B^>*37n6G{tU4MM#qgAH;>KhmS3X^_(#wr*BQ>6>}wvl(K@dLZk}dSGm) z-o&O>s==Qn=`?LCPZnxSpDw+gWoK0VrN2z@#6q&WG`W85)HavyE|rWVkxX}2NgBbT zR=?cAkfyZNo8cwgTkzA+SgU{Ra_7K}VvBo^Rk!`^c1@Ocd9*Qvil}diPG~t>ab?ch z#8YPqHb&lp&hi*$@sZv(}(d^v}1|&mtVJe?uZ0<&MTJ1 zTQ-Bk=c)Rliak+5r6Pb^@?)Z9p_ml5gnaw%%$eI;vVSNa{+7j4W1j80w!7Zhc5VOc z(U;nygYHF3&%b&z4Wuul48E}MzW@HD00yIhLv>rC(rGtU?RSf#)uW|C=?^S`dB?d- zjy;SFMgS+(FW_N49G6C9Z`qWmPK!=b2Jfi=HWZ)6^*MYf zV<=%QfDKbr!pWzv|)e?3$3jxjlVbcn2n{?hOBrwlB0^5QK}zb&&Ero(E=^q(Th zsV54^yR{BE8P-U_m8Y&fJ>23e) z}@0Vx4IlKRa}qvX6pkpdVj zCo6y_Bsc98sZ~r@0Nea>)!Ul|0nBwF4K6F+R-eDnY0gSVeAC8XarPd;~Opehp|3BYiat zVR-Ltz}?Qo#3B~3a;uNsP2ElYC63HNN3$LEvh9A|3$j~3Sr1$tCmwz@dYJ~}Pm*++ zwrpr+n2?1a{aFUTfi|~4H?)kbNsP+vtYKGbEe3X_&>n4b>Dy(JQJM7ZD#={?#Jc~+ z%+6h#HTjx|YB;0oz(fly9#FefFTU4j9?R}nc1?6<_KW3Z7uC97Y*Eqe2xnvd(dz1Z zs#eDqop^hbqA1HIEo5B~%YppZmgmgOtWywnS6X2;ayOBb4pQ3^EeDw_`-ir)D=)sz zdZ-37H3C?_HrPILsbS6eqINz$%_bTc8;EdA?9c#Tc%tggy>c^E=ynt=R^~Q3J6rM_ zk>blYon9B)NzvOB!Q!j8($FA)v2gnX_hU6x0$Ec10_ESPEP2bx^r4~s$wxj(1L2AV zPF7edz#HoIDjM@D<@Y@JE_r@QK>3&8XkgIV8xQu;Pki!B(BTwDJ4t~O)}Vw-3gAKK zVbEvblH|r2DFR$4O1R(x>AEHN_)n4Cn=(78?w0izpodJ6k!Bx{t^h7b-6Zkw^8bk~ z262lWgb7uQNv34~u`v@(g{3z={LubirvW7+XBSv9g`xdel@wLcs;3M=lMe#ib|~z(ePSSI`X!$?z8PBvJr@j}&h#`49Wq@3Y+FWwm>H>7m^{5QHBKBS1wd}3h z-8I|#nAm@h*oY4N+jaL1`e+cJ*dE9mmp>k|S}NNWJhQ=ZJe6o+)q4Ral9)~WwE2Su zu153g?kU7VkWYjMm9Qh?fD>RVG^kG*5xv5pRMu!Eos}jJngF%T~ez@FDUgJuS zMkOFOBP(P^8bGLyy-g#7?AcEl5A2bXAC_Rg#aFLUIy0o4dQ)48R)$Iz!md9Bb73{T z&XC)`>(r4oy&>IQ8T$MT?5zv>cJ*&2+ey-X0%8>fug5N?FDBLC(2|l8DAz{RSFPx| zwQs(A-+#m7U;HMlSH0{s_jw}Rv?{HGA3A>dE22*OIqTq^;e{*CTuZnI<4r%>iNhAf zWF8O*W2_x)IF=Kr(`n1yC*rU^v(u8VagN*SJ-}qo4{TH9?X@WlUw-~|8XfuE7Mt?1 zU3DrO2Tvh-c_fDF!PpUj`jN-hRi6|+gRU3N>KiwQvHVErP8_b^d{&iw`O&c@2w*H| z8H@nddud>i!6;sya+4oVZQ&4&SZv#IN6skGR`an(K7rMsfs+E*s9(cLb!G#~zZ8Ty zvo&Iy8uDYG_|$e3)ooi_1TcMIs0`LcU>cfb^}sp#(jC|oL(jb_2K^UMKF**BQzWH? zMSb7N&&peH405lIK8GlOyA(5(TWzFduKtYUk$wrZA$RVvSCtzrMKXAq{*#cLt0YN% zJBXoS3)VGsY>I+VDMpPv27{z8l+1IIBuh?_QomX03nfDpWSbkIpnsBG^$QsRoK?S? z3D5G`gv(}|RKL&@z*+S>24iQHVpshtPM-TtY%$rXhcaevN&x4o-=R4Snz3Nupdp4m z62Kal3q&*qE$%5?__7(xD?u(p8C~(@L#?P2wOywYN3ESsdpim$w(H1Val`TW z({MfcO33Q5HEVX|*e^7~h1T-_Z|BI55K~1(!Fr*rbjddOaSaRgaQ}vThK2^6th6O` zr7x-y>+ODyl#I~p1KhbuS@=<{ZExrTZ$}E+BytEKYjp?NBr=)-D+O>?wX!zZr$@3a zAr9%G4QK;x;y7{TS`>b>9w>I2hDLA7tN8@lnF1$2yC4oZjFAY#{#TqPkp1a~)hG=q zoq2Tq$^I}nICO_-5(A}Q&6P#&8bHo26|?If5U`l;*d(d~+zo{Q#=gA#opt~6;eEfg zdFk6;6t%aBP3pjNaXYdsXTjaR9Bdx`A2*(R&&q+AjB?(-|py+$_e zIP@)NJCrKDef$m9kMyOzMKPEMqi|>-fPLXsgtsnsD#G<&yRG85YbVaK*%a+fMkuPn zy(CMyVwQ0VErT^PqJs4;QM0{6Z+(-@kmIEiYg)3v+Kr+z)Q}!L`p74e0ywLFVb-$E zi=s`OZW5eS)zg2eDsEN-Yf?&BqXn=gR|Z4LlkgPD{%>M($nF}CsVLzIua2%0%&{%W zv~GeyjFit*k|{G|a-uT*AuWJ&hb7~VL~gV!CVrXzrgMriV`0$nK}-^MlCcGp3=5-1 z9)s&p`ep+zE&e@hlC1j84VA=%9ojR68CXo8`qd;f@F4XIW1Qj(mQXg^l=>yZOFw`e zkAi$OC9}$s6u@QFZ;lUO&NC2loWg+;`K3N!rEg1OlsUImRfD3};qAy!q zeua4E&D&JM8==OwEsg_OEt-Du) z6AK7n#3$VCACu!K>JRqFZ@n+p1LQT?UXrOZpChjao18QyE8 zKIux+?U|sA2d;wCY?=nd{3?=84IX~dAPzYQN&sgS$ZmhSVSD7(Q3rPB7m9}%)L5Q6 zq3GG|-#;*CNRRwoIv@>Af3%_O4oouBIT+YGS^%3oVd=_upIrREUta!>`{OG-8eGBi zOqbSXKh3V^R=?}cg%6-zX`eXv#T|=B9@*@OC=BBuwp^Z>ild+tcc=h|G5WXC3AZ|N z5TK0ZM;|-r-0JZ|mVAS{d^d2%lHa3?5(b?C!DA7<@$^fyL2A)h-tApV$~;b~HQ(?m zLKoeOb5k^RgM_ND-sxLbD~=EN^;XomaN_lKv26tKMy~;AVDvBrVVps|de_spRE2zh z1?rbG%tp{^$KsCr@1rMAd2Es~^UB0{oc4hSc1x}K1UEF2~O?N z!}RGl$sidFE4RI7ioi($Y$&gU^}JdCc<5-Fp)r%4Cn2qbS^g+5kRIa#X)j0~u1Ste zGLB)AfD@9N&mg9iXYQnfg6DSD>eStVwFwu->>X<2#JMSdQ05e0 zW<|NVWyz{v7*7C~$qGs)mLjQsVJZTcOj4d)=!Pw3r~uYM%;sM6aGn63%Ita}z%}1H zYx^#TJ5?^c`R_->vyRK3!(M&Pt<1UAFbX$0P?VdZLGnQR{^4QO74XL1yjx4Wc^*4?-!o%zC>ulmq_T-n&%7d7Z8r79wgQPXB zrW*~^>!_6kwbrGdw$!wZ?OjbP(a~rCnidOwT-WWUa|LTU^hjm}yKSbBR^`UjPE^}= zFXHL3+p|a310|hV4@~alhvRHs2(6J$Ze)HnbikP_{M4>p`upfO5~U$EyUs-CT_+?u zL*K4JLkwzcdRK2$+Ml3kmx|e?7pe>eF-1L?NByEUX{(n-K)U}->qKMy_6r9;!mjm8 z-!gOP*KmIP;&**>_{y@qZ{BUL#PxZ5yFy9U4kKG^U%nE?VXP#pgnO>A+hMC6b=X49 zMiI|d&{gca@u<3exlO70qJ+sooPG91xJ9%<9Ct(% zskaT&trf)x;ElLLK^V`r2wEa)-Es;IjL^knKmcQLk`E1-6W-BR@0hcsf1Rj`b{yUM z&Ij~yXAXUU^rl9psL=Pn?yx+Fo84=iCJvZ0p@F4hb1?CI=bBS;y@v4?UUHT}!BfL`1@KfzMbBkWW}{MOE*N#Xl3^hm zId^Qf+Zg0V&Sfgo6*KuKUBRe%W+UfD(9*Yyt^ZToC0G4wvhjyv5-2~`3^6Rd?VpKL z+1Eyr>JMSdQ+$>mlwmfXq3pH#9V4YdBn9wz>NiVn&MC?)VUYUGQf9_6$R?Tl66oUD z2~ylaLpLlOaNN3W9d@hxTz@TSZ^a#IH4WQc^!$o#dzNE!IT*#wn5$(GstPdvy5oBC zJ;3tnwBk6vWjDMEZP(rR`tjer_uN-Ldc!a8Z{Ks-e+DO#mBO!Gu*OF77MA;Z=avJrA6{Ah z))RA&#%uS@#hWe5{~dAU8=Nz?2;SJgbmNr=+p+J8ur1uJxKgv*o@i~w^}4qvb*mk( zTs(s!;~wkCmu$qHD5MCCreztOIGVrl2KMpeMowh$69i0-h_#WyvT@<*mw0*$^-CLN zivT|Mmb=wwMM>;fRFKWFb@7>(T5-Tlf#jy(yb-oHqIgGrP!=QUPBoY|5A{|g&foSt zZ4ClAseS;Dlsk_Nq7|z)CTi^4J)U^U@0VV?OnjQWOhUnQ2 z+WE0Z9t~8U1r%st&F|m#0=9sWWH1amX`2&uNe0Ck#HjHHF{%gfU z1EU^_;xw~Is^0O1{_rQr9ZX| zxnT>)jhq{#PyH%%5qK!MQ)qH0N>VUZQxGAy@C&1=Unn@mXEQ8FX((9%JgWN5=3|&7 zu@p)53ttexL$fLnzy)Je6_Q^ z6L9gWrLWtL0;IBQ*^KpV8>ef#6oOpGsac-y&v;xwyWZ2sev9}!_NsZsvLDxwuN|x9 z#|`ymzo&45(WppYYLZymfYd~53pDJV=KfWu28%k`Po-oraQLq)aPIk zle|D%gN5SdkB0sPPpV})@SA|E;I4_ z4w*vsNs7Rp0_>B%9Q>ZLmHi>%(MW%;Q`5gI>6f#h53vfltCzzrMxtvNl^l}o-$O>r zqw7zuQ8PG{raN#DbIsc#XFaky=w8adF$z*q-M-s#wqq-x7Q40mrbhi4r*(9rdAu&# z8wY>4e({ejgey+XLpC0`f9BHTn{jNlg)b=H3a5hXlmWx_c+PXLpe>Q9o98af96L;D zm*c=1I;=$=M)}a?K}Camw9ObhZdp8)MR_-(vw^$9PH&UpvcHN@jYTbXS|YAS&bAYt zdFnaN1I;+t45R>ViD0EAzqhyU(_O;ZpvgiN&)@c0EVNmMRJ`(RfcjmR*@+d=!NX?b zrQ6m6IUx(d0vZ^5&wJj11vcdFUW2UU_zdjI!jL4Uk3I(ug7X`85Jo~T-TorBfKiq3 zv?%kxK$7C4F%l^;oU4Qju8t;Q)K!;fipS@glRZkTF6%U~7hBL_JapI;miV(@eDbs3 zKx+)fNHI5wl9Zfo)G11a9f?tM$fbN@<4so(KR4hY=?jBG<;E#bZrI$IV@qGi&XPMt zF4?hAa(J%#9YgvWILrT?AN&Z7VoIi$%h>8S_dunXB(W?>0em&pFO*qGRsfd_J~l(M z$`!yvDUXTpq0bH}JS;s!=HMXLTtFB*BCWrhgm${aRgE*q_+lpibn9>l!*ug98 zie*y@u22V7wklr*O{G`(w)?^@|C7iSDRkXN>n&Gm|BJ?KaN~;q>}+t;wsSW7`cEY_ z(N`$mO2j2Pd2!{c#IO@rww;UYqD>!u9i@S3&<(|_+9-LfQ0f#-E4wA=ZlYruLW5bb zPVD!}%h2u9LvE_9Bn)KBjeS$ZOVI7pBekiSx-;v6Tqh*`rp?io(x6}6b@%FB+zpZf zRXu;vq@`gm7OjN^HZ4?`MM;t&-8g_>d0^KG_^|_a$=OxpL4FvtYuDeuG}zshp}R{j zTtm!=d%BFHlgy<%Z~)!CbDe_$>Qx>bWbAHMRDe%yzU{`jN4U?k7Z12AZ(|@TcYm;a z_`yZ;xbUg<`8UtC*2L=Rj%`J&QDf0r>j?X|q8q=)dzP9kVWo_=BVtgwB@il`xmgU4 zG%SL+5xQHB{Ayi(c!1GAPzS$78xMV~xXtX0*FzX<$%mF7Bb#Lh&J|jqqNrbZ{IfKU zJa7*~q^qY$U--mh@>wzZ#9~Xkgm~rdr?46nFBa*G)!-rQviuI=_^#*f#iP+0cr%LL z_23uLV1tx2+;w)xE6lE3l4Da8oP={Vu#vt=hRR?luY{Lwzbxu=C!h3aPfnTt4w4ic zkWndC!dx9qT?3E0*fE&m@wtZR12t$ZdhcE^XhuWX3h;y}4$Y&0l2a$ASi0wz9$NTC z8iwRvL&?a4$c;G_$|qKwL-{y{+<*nr*N~knH&ij%ASrTVmQlY$BO7w3fHDyVjmS%p@=@X*cw2zwPEt5ouMuu1k#0PDH9 z!kb0yazSZXb=#VEe0??Bu-#i~^L5u>Z|`ss*bY0`Ac|UXIOlj=0-_ALHmun$8rZiT z&$egf7bAIf%W)j{>6<>}sLR}XG+Pf2Hl0#bTyQ&h z&`1<@+twOwoa$Fv>5tu7xPRR{B{x+lb|FwvwrzVO>W0(pE<&+@tuqoJ^_4n2VGTH!kcj;9p=G$TlV_QBoS7T$ z;X!8o;S>IiomYbpMQz&)^$v&El21^{e#BHiz~m7qB9-^y2!2u~) zNWrL*6a>%G6~L3vn#)M3WSL44qkR7YbSTZz{69Ro`nPFllG3;Q zT*-jNOc=9_^o8=XOhRskx$Kep)u4g*;w=9;s(#_R6~G1R*90juV+zKW8AUg2lJNvE zq^8S8*63?Ht}L;z&vA~p^2N``D>IH|FL(`GL~EU$PQ*oMNC$eNfsuvu;m7S2fziNq z*R9I2?h7~nrt0ZqXTyW*?kO6I?ko(KMuF%xZG~)W@r;yZlk#uNzHD**kGqGfuFR?Z z(g7t*8`OJ%T|e<5SZreZk?~Ois97(rsq(M%BUXMgaE>%#hwY*FM4H1_2yV)>RN$FNDst zXWGYO9$0JldsZ+bHYw#Ec+32yr!H76kIJhSz^?7YJexplySi|HWA>O62IA@e`eI=F zVX#p-ytrdWtFND-r-itU<&#ORDDo{Hn6;g-<8yBahM6FMc+x-~D__ z{bDsn0BexJSq+Ru2J>e(gi3g?;S|c*a;N~-441+DCpe%WoD#r>&s`;)obKVX{{w4? z|Jy&_i%X*v$prR@2@lcUF~-^p)>1xNL6BmshyK#<^JnUeoe;3>hgZHoRl*&EYz{=m zj!kaBtaCgFh20~{B)RmKpBAULXe0%&p?rE}=F$|$92#dl^-I{4XAB5}9fN6ov{X!W5T=GzleLQnX3gGKd{el+2$=5#*qF~a3xe7*Ua>tG>fXl;GoxZFD)Nj?c zxM+U!?9ofjl^LhP<)>$H-R*1zO-ed+ZpjN~%EeL|A%M9g$B6*m=lgZr_f$>Tvz#Yy z{4F|E;zm6=EfB>UV%w__)H-#=!YbgJ^@@tcp^Ll1IkacD~uoq6q z`tk77N9t{~z>&c!WM?IdB>44BfKRuvemJw`oySuN=cK@sue9yzMkVmN5mZ~kC@cf9 z1CT?2QCJ4{8vN4@6G}Fij=nTNd-{>Pw6O0-`jaHoZ+aIb1aQvY1VwviItNjnXkeMA zOi9id@=tN%^4r^@>WS^yn+{NKU3+CYKsi!zbRv7Jh75Ksk3y`h*IITb3R*lKg?0O% zyt{JD`~83ZNGA%_TNOkcN3Lz#>JyuHf(}n(@d!l^^9XH*K|2l)+;t1LTt^-*(MD>k zS}rBuSDyGRX|&>~8H&0qwgXY&c4kT3o)l~YdWni77g!O_&F^>%4N|8Ep|WrTvlq~d zPpNNN+afvXlveYyDhL}=>eq0%J~#jPjWjg+WYuu&yWjmlL`yBC47n#*7%D_U@9DAQ%<;uHac?7IjZpHCGed0rvs8NsRuXr!h zQ$b&e(y07M5Wuw2rL+Nc$|em@egV=I8bJSEHz$;DLzNwnyVxG61}ea4X8DXE`Wb7` zJ(+?I=rp8k3H2*IYElN0lB62$-*V5rE*vcj@jjBxG>kE`0TqoBj?F7;;MPGomz11p+c{^~_* zEf%f$I~IBB=;AZ0TcU$mNL%^*PVYD4MzVHm0VaOmqeSG8hXle(JOO z^Q%z5B;Aaos>7DJ5_A)WDo-CNZhyzyWLLS0G*xm|G3BoU8O%Y{Y;gxs1R{REUk2xL zSa=sihk`I|eX>FTuZ7)s4?OrqB-D*Kj6tVgbAB#{iJ)MvLGcTe@Iyzw8=K_e^S_B5 z!t~xG3?-LuNG)^J;Yr^AvtOYFu;D5wVVET6lBAB(-myn(DWCtK1jnX#~tR@Mb%g`CiGg5A5-sC9b9Gg9rz9l0J8lGk8zJDT4HEATdI_kF|$ueQDn)=mD zO9St<`h|i6I|fMsJfZp>n*Vs2YxN6R0X%d@7;n-t?5O}osm@yVhP-GN^R~LqRUB}o z>f7(=uR%fp%WpZdC@94dRVJ>B=begHI4&hAse$b|&*zHScd6w{0o`yaJ{q_(`}mRn z@CQZy=~_reud>KErcklM04gv&Y;9CSxCIt zchmC5vD32_VEo_XZ%l1|ie*n7FJ26f65=sntqRU|77psk1CF&$`N z-KetTCNmNB;6TUTQGMhPHC7JP4W_{2;5P+#ORT=r4xn!o{d>_Q3Gtju-`IZAyJmt# z`Ds{S@xWst;>4u~XOHmQQM`VBwapVy)@B^rfqc<1-B5~h>M(Bbw1mASU((!++S{ES zRH|*+?XYv@;2C;^BKY5a`1?@0NaTO}zyCTKwHeBXs_MpYv3S=l#37w6JEEA&5DjhM z=(xyZ`XhR+`1Madjgx9HmV;Kct<|va)+9dan&5Ev7rk9BL&n~P+fO@H7m03sMpc6i zM#K)4!CC{;Kn80KjCkI)aa`s^on+8STgS=n)qV~X$m3E-cDM!^oRzx;lu^Rhupm4w z(7=D^2R~wJ!iLWqB@Bb^5@WMRX-}T#pu|c>-W9+l2kbS2){~_;;qq}Nq&SU1kfUko3&4r_l9fPF$g|P*2ndHY$ zQVHPeP5r`N2w-$CmP2)~th?l^1-Aew~ZVov=d(3c@wp=|~yOo-PZn z=c$jvvMDCpZr$-44kcp8^Y*RVvy0KHYsG(X=3OZh=V|4=2p=`@zv?Dqk z4W2Cuk;~|P(uk|^T5B`tP}If0dGJD81gdkStXQ{p{>qR3pEUmE_x=|$aO6M)Fh;Wf z&9}GhsFS#t=mQ5FBak6_yztmls9(MErhK7v7h;kJ4b(Umno;Voi}* zqm{4`z?z{lSW^|&ZO`4?mA=YqIH#34V<#E)?ER%GKFcYlIP9oNmXX2mIjw}X2BraD zP{O(FQF>$NGn9ga3nuM;2-fvL!2(hgtOv@+Nuk4*AFlgPi}LeAa_ZuN$)1K$rEhMK zLF7izj1(tHR{FwTsNdWS%MaJ2f=vA*uSznZ23~sS2gPgYi^4I~uQ?h?X91@F7$gPo zbk*-9S)~{%fTuXubqo3yTNQT0v5&cxTWfRM!H#FKS#hWU3(<}ON7yyTX-Bd!#pN$U z5WN-KMF4v?Z+XDNKI4Ab?T7=uGvd z-(5eK_*jkVBZV#{O7-zqu-g+2jQUj#2MMi;z`J9C4$?}6Y%2l0J64lQsfY9+eYN$2 zBOCsU+SyOm1Cuzj9!NROrfDSEbtZ)F?ziLOL|h>_RlB>u-V2^#cX)=}UAa!^^Vfn! zs27E2?byXK3dk16IOWtk%-Ny)jn$iYjSO3`>x*_-JV8hE1@$ zD+!}i&)vOw0(f_ChJsyoJ(xqlH<~vIyLHsRBe>MGNj{FEn8y^tx6LwIwGD2Kj%cFk z95J&kE)z2nfnM*9*rum{=|DS*HV&UhEqlU8D)ZRf7M-o$s~i5>-~THl@{|ADuX^fn z4Lb;IB^Qs~_a-7}b`KWG$wMR`ML7AP4KF_SBo+Z|bgzL5a81OeQPWcRnc`+@Fc zJ@f!=Q}7(dqmO)oMv6f)*c5>Q4XocYeA{#PV3Qz&vF6lWlJaMGPI^p{ieG+sl2nkP zd>$*|qySEm7Q?-dkKSvp&cd(G-6qR;^G-rR&Z%Usy#OxAHAO1*EE9$*KRlP*m}Qu7 z-a+K%qopt8s^1C8T}W;kBav0V6H4FmDdi5&B{ycS^o6USel?S5;B5J~r25UJ{E^I( zdu+2L)h~=EfOGRKnZQt%j(zat*>4{tfd43<53jza_~av>n6c$Mevi79<6f=ddQDmQ z6|IPJlbClaNMEjIQM^ha2>Ci^+w!{t*!CQ^X8SX4C30r#BJe6Tu2El_`}m4?x+ht? zptX!pp{R>4r9o-8zZ+NXOe>)e7SL>Hryx_P^hiq8IY9~F6&hwZO@gDZ5L(#GaQevu zKm@HZ6lSdSGcOTZ>30rmc6%nsI